Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 157325

Article: 157325
Subject: Re: disadvantages of inferring latches
From: "mnentwig" <24789@embeddedrelated>
Date: Sat, 22 Nov 2014 04:32:54 -0600
Links: << >>  << T >>  << A >>
laughing out loud... just read this thread backwards. Rabbits... yes.	   
					
---------------------------------------		
Posted through http://www.FPGARelated.com

Article: 157326
Subject: Re: Bypass Xilinx flexlm license check
From: KJ <kkjennings@sbcglobal.net>
Date: Sat, 22 Nov 2014 06:52:06 -0800 (PST)
Links: << >>  << T >>  << A >>
On Friday, November 21, 2014 6:32:52 PM UTC-5, Nikolaos Kavvadias wrote:
> Dear all,
> 
> agreeing with alb, this is a license breach issue and not promotion of pirating acts.
> 
Full definition of Piracy (http://www.merriam-webster.com/dictionary/piracy?show=0&t=1416666964)

1:  an act of robbery on the high seas; also :  an act resembling such robbery 
2:  robbery on the high seas 
3
a :  the unauthorized use of another's production, invention, or conception especially in infringement of a copyright  

b :  the illicit accessing of broadcast signals 

Merriam-Webster's definition 3a of piracy pretty well fits what the OP says that he/she has done and is enabling others to do the same...all while claiming to not 'condone piracy'.

Kevin Jennings

Article: 157327
Subject: What would you say is the best board to buy
From: Julian Gardner <joolzg@btinternet.com>
Date: 22 Nov 2014 14:56:15 GMT
Links: << >>  << T >>  << A >>
Im looking at a hardware project which will be a DVB-CSA descrambler.

Idea is a pci-e board with an FPGA, any body know of a nice development board 
that i can buy off the shelf, which will take in the TS Stream, pids and keys 
and produce the decrypted TS stream.

throughput should be in the XXMB/s

joolz





-- 
--------------------------------- --- -- -
Posted with NewsLeecher v6.5 Beta 4
Web @ http://www.newsleecher.com/?usenet
------------------- ----- ---- -- -


Article: 157328
Subject: Re: Bypass Xilinx flexlm license check
From: rickman <gnuarm@gmail.com>
Date: Sat, 22 Nov 2014 12:49:04 -0500
Links: << >>  << T >>  << A >>
On 11/22/2014 9:52 AM, KJ wrote:
> On Friday, November 21, 2014 6:32:52 PM UTC-5, Nikolaos Kavvadias wrote:
>> Dear all,
>>
>> agreeing with alb, this is a license breach issue and not promotion of pirating acts.
>>
> Full definition of Piracy (http://www.merriam-webster.com/dictionary/piracy?show=0&t=1416666964)
>
> 1:  an act of robbery on the high seas; also :  an act resembling such robbery
> 2:  robbery on the high seas
> 3
> a :  the unauthorized use of another's production, invention, or conception especially in infringement of a copyright
>
> b :  the illicit accessing of broadcast signals
>
> Merriam-Webster's definition 3a of piracy pretty well fits what the OP says that he/she has done and is enabling others to do the same...all while claiming to not 'condone piracy'.

You didn't give us the definition of "condone".

-- 

Rick

Article: 157329
Subject: Re: disadvantages of inferring latches
From: glen herrmannsfeldt <gah@ugcs.caltech.edu>
Date: Sun, 23 Nov 2014 02:06:46 +0000 (UTC)
Links: << >>  << T >>  << A >>
mnentwig <24789@embeddedrelated> wrote:

(snip, smoeone wrote)
>>> It may be a common distinction among many, but 
>>> latches in FPGA parlance

> is generally any circuit or code that retains a signal (or level). 
> Intended or otherwise.
 
> that would mean a register is a latch. I don't think this is the most
> common definition (even though it is used sometimes).

The definition changes over time. Some might also use the word "latch"
generically, or because it is shorter. 
 
> Wikipedia quotes the following paragraph
 
> "Sometimes the terms flip-flop and latch are used interchangeably, but
> there is a distinction. A latch is transparent during a positive clock,
> whereas a FF is only transparent during a brief interval during the clock
> transition (edge)."

But to be sure, most now say "transparent latch" when they mean that.
To be extra sure, "edge triggered" when they don't.

To be more confusing, compare the 7473 and 74LS73.

For the 7473, the setup time is before the rising edge, the
hold time after the falling edge.  That is, even though it is
edge triggered, the data has to be valid and stable long before.

The 74LS73 is more like that you now expect, setup time before
the falling edge, and 0ns hold time after the falling edge.

-- glen
 

Article: 157330
Subject: Re: Bypass Xilinx flexlm license check
From: KJ <kkjennings@sbcglobal.net>
Date: Sat, 22 Nov 2014 20:13:45 -0800 (PST)
Links: << >>  << T >>  << A >>
On Saturday, November 22, 2014 12:49:43 PM UTC-5, rickman wrote:
> 
> You didn't give us the definition of "condone".
> 
> -- 
> 
> Rick

You're correct.  My response was targeted to those with a level of intelligence to which you still aspire.  Best of luck to you on your quest.

Article: 157331
Subject: Re: Bypass Xilinx flexlm license check
From: al.basili@gmail.com (alb)
Date: 24 Nov 2014 10:59:06 GMT
Links: << >>  << T >>  << A >>
Hi Kevin,

KJ <kkjennings@sbcglobal.net> wrote:
[]
> 3 a : the unauthorized use of another's production, invention, or 
> conception especially in infringement of a copyright
[]

> Merriam-Webster's definition 3a of piracy pretty well fits what the OP 
> says that he/she has done and is enabling others to do the same...all 
> while claiming to not 'condone piracy'.

I must admit that I've always only considered piracy as the act of 
'copying/reproducing' without permission, hence not really applicable to 
what the OP was doing.

But, in light of what you said and what I read through a deeper search 
on the subject, piracy is also covering the 'use' without permission and 
therefore covers license infringement:
http://legal-dictionary.thefreedictionary.com/Consumer+Software+Piracy

Not all countries though associate 'piracy' to the unauthorized use of 
software as the OP described. For instance in Italy I haven't found 
material related to such a intimate link between misuse and piracy [1]. 
In fact piracy is mostly associated to 'duplicating' illegally the 
software/media (often related to file sharing and other practices that 
cause financial damage to copyrights holders).

Al

[1] my search is by far not exhaustive and I'd be happy to be 
disconfirmed by others.

Article: 157332
Subject: Re: Bypass Xilinx flexlm license check
From: David Brown <david.brown@hesbynett.no>
Date: Mon, 24 Nov 2014 13:21:00 +0100
Links: << >>  << T >>  << A >>
On 24/11/14 11:59, alb wrote:
> Hi Kevin,
> 
> KJ <kkjennings@sbcglobal.net> wrote:
> []
>> 3 a : the unauthorized use of another's production, invention, or 
>> conception especially in infringement of a copyright
> []
> 
>> Merriam-Webster's definition 3a of piracy pretty well fits what the OP 
>> says that he/she has done and is enabling others to do the same...all 
>> while claiming to not 'condone piracy'.
> 
> I must admit that I've always only considered piracy as the act of 
> 'copying/reproducing' without permission, hence not really applicable to 
> what the OP was doing.
> 
> But, in light of what you said and what I read through a deeper search 
> on the subject, piracy is also covering the 'use' without permission and 
> therefore covers license infringement:
> http://legal-dictionary.thefreedictionary.com/Consumer+Software+Piracy
> 
> Not all countries though associate 'piracy' to the unauthorized use of 
> software as the OP described. For instance in Italy I haven't found 
> material related to such a intimate link between misuse and piracy [1]. 
> In fact piracy is mostly associated to 'duplicating' illegally the 
> software/media (often related to file sharing and other practices that 
> cause financial damage to copyrights holders).
> 
> Al
> 
> [1] my search is by far not exhaustive and I'd be happy to be 
> disconfirmed by others.
> 

It doesn't really matter much what we call it - it is illegal, immoral
and unethical to use legally licensed software beyond the rights of the
licence.  And it is illegal, immoral and unethical to help others do so.
 It is up to the laws and courts of different countries or jurisdictions
to decide whether any particular case is a criminal offence or a civil
offence, but at a minimum it will be copyright infringement and breaking
a contract or licence.

However, as far as I am concerned, copyright infringement is not "theft"
or "stealing", as these terms require that the rightful owner loses
access to the "stolen" item.  Similarly, it is not "piracy" since it is
not theft or criminal violence at sea.  The use of these terms in
copyright infringement is designed to invoke an emotional response and
make the infringement seem far worse than it really is, and do not help
anyone.




Article: 157333
Subject: Re: Bypass Xilinx flexlm license check
From: al.basili@gmail.com (alb)
Date: 24 Nov 2014 16:15:24 GMT
Links: << >>  << T >>  << A >>
Hi Tomas,

Tomas D. <mailsoc@gmial.com> wrote:
> There were and there are tools to decrypt Xilinx and Altera IP cores, so 
> they become regular HDL files. I doubt nobody knew that in this group... 

how would you react if somebody opened your safe and got accesses to 
your most valuable thoughts/ideas? 

Imagine you do not have the means to protect your thoughts/ideas in a 
safe manner and somebody strong enough and powerful enough can spoil 
your weaknesses and use your thoughts/ideas to make profits.

Imagine your thoughts/ideas used against you to constraint your freedom. 
Imagine your thoughts/ideas used against your people...

To make things straight, I do not care less of what Tomas D. does or 
says, but I do care for those who might, one day, think that what Tomas 
D. said today was not so bad in the end.

HTH somebody,

Al

Article: 157334
Subject: Re: Program IO 1.2V
From: jim.tavacoli@gmail.com
Date: Mon, 24 Nov 2014 08:45:02 -0800 (PST)
Links: << >>  << T >>  << A >>
Take a look at one of these starter kits:

http://www.latticesemi.com/en/Products/DevelopmentBoardsAndKits/MachXO2BreakoutBoard.aspx


Article: 157335
Subject: Re: Bypass Xilinx flexlm license check
From: rickman <gnuarm@gmail.com>
Date: Mon, 24 Nov 2014 12:19:58 -0500
Links: << >>  << T >>  << A >>
On 11/24/2014 7:21 AM, David Brown wrote:
> On 24/11/14 11:59, alb wrote:
>> Hi Kevin,
>>
>> KJ <kkjennings@sbcglobal.net> wrote:
>> []
>>> 3 a : the unauthorized use of another's production, invention, or
>>> conception especially in infringement of a copyright
>> []
>>
>>> Merriam-Webster's definition 3a of piracy pretty well fits what the OP
>>> says that he/she has done and is enabling others to do the same...all
>>> while claiming to not 'condone piracy'.
>>
>> I must admit that I've always only considered piracy as the act of
>> 'copying/reproducing' without permission, hence not really applicable to
>> what the OP was doing.
>>
>> But, in light of what you said and what I read through a deeper search
>> on the subject, piracy is also covering the 'use' without permission and
>> therefore covers license infringement:
>> http://legal-dictionary.thefreedictionary.com/Consumer+Software+Piracy
>>
>> Not all countries though associate 'piracy' to the unauthorized use of
>> software as the OP described. For instance in Italy I haven't found
>> material related to such a intimate link between misuse and piracy [1].
>> In fact piracy is mostly associated to 'duplicating' illegally the
>> software/media (often related to file sharing and other practices that
>> cause financial damage to copyrights holders).
>>
>> Al
>>
>> [1] my search is by far not exhaustive and I'd be happy to be
>> disconfirmed by others.
>>
>
> It doesn't really matter much what we call it - it is illegal, immoral
> and unethical to use legally licensed software beyond the rights of the
> licence.  And it is illegal, immoral and unethical to help others do so.
>   It is up to the laws and courts of different countries or jurisdictions
> to decide whether any particular case is a criminal offence or a civil
> offence, but at a minimum it will be copyright infringement and breaking
> a contract or licence.
>
> However, as far as I am concerned, copyright infringement is not "theft"
> or "stealing", as these terms require that the rightful owner loses
> access to the "stolen" item.  Similarly, it is not "piracy" since it is
> not theft or criminal violence at sea.  The use of these terms in
> copyright infringement is designed to invoke an emotional response and
> make the infringement seem far worse than it really is, and do not help
> anyone.

"immoral"???  Really?  You consider the "misuse" of FPGA development 
software to be "immoral"?  It is illegal by definition.  I'm not sure I 
consider it to be unethical in all cases even.  There are times when I 
can't use the stuff because of a license expiring and the licensing 
process taking days.  If I were to crack the license on a copy of the 
free FPGA development software so I can get my work done while waiting 
for a license file, I don't consider that to be either "immoral" or 
"unethical".

-- 

Rick

Article: 157336
Subject: Re: Bypass Xilinx flexlm license check
From: rickman <gnuarm@gmail.com>
Date: Mon, 24 Nov 2014 12:21:53 -0500
Links: << >>  << T >>  << A >>
On 11/24/2014 11:15 AM, alb wrote:
> Hi Tomas,

...snip...

> HTH somebody,

HTH?

-- 

Rick

Article: 157337
Subject: Re: Bypass Xilinx flexlm license check
From: David Brown <david.brown@hesbynett.no>
Date: Mon, 24 Nov 2014 22:51:28 +0100
Links: << >>  << T >>  << A >>
On 24/11/14 18:19, rickman wrote:
> On 11/24/2014 7:21 AM, David Brown wrote:
>> On 24/11/14 11:59, alb wrote:
>>> Hi Kevin,
>>>
>>> KJ <kkjennings@sbcglobal.net> wrote:
>>> []
>>>> 3 a : the unauthorized use of another's production, invention, or
>>>> conception especially in infringement of a copyright
>>> []
>>>
>>>> Merriam-Webster's definition 3a of piracy pretty well fits what the OP
>>>> says that he/she has done and is enabling others to do the same...all
>>>> while claiming to not 'condone piracy'.
>>>
>>> I must admit that I've always only considered piracy as the act of
>>> 'copying/reproducing' without permission, hence not really applicable to
>>> what the OP was doing.
>>>
>>> But, in light of what you said and what I read through a deeper search
>>> on the subject, piracy is also covering the 'use' without permission and
>>> therefore covers license infringement:
>>> http://legal-dictionary.thefreedictionary.com/Consumer+Software+Piracy
>>>
>>> Not all countries though associate 'piracy' to the unauthorized use of
>>> software as the OP described. For instance in Italy I haven't found
>>> material related to such a intimate link between misuse and piracy [1].
>>> In fact piracy is mostly associated to 'duplicating' illegally the
>>> software/media (often related to file sharing and other practices that
>>> cause financial damage to copyrights holders).
>>>
>>> Al
>>>
>>> [1] my search is by far not exhaustive and I'd be happy to be
>>> disconfirmed by others.
>>>
>>
>> It doesn't really matter much what we call it - it is illegal, immoral
>> and unethical to use legally licensed software beyond the rights of the
>> licence.  And it is illegal, immoral and unethical to help others do so.
>>   It is up to the laws and courts of different countries or jurisdictions
>> to decide whether any particular case is a criminal offence or a civil
>> offence, but at a minimum it will be copyright infringement and breaking
>> a contract or licence.
>>
>> However, as far as I am concerned, copyright infringement is not "theft"
>> or "stealing", as these terms require that the rightful owner loses
>> access to the "stolen" item.  Similarly, it is not "piracy" since it is
>> not theft or criminal violence at sea.  The use of these terms in
>> copyright infringement is designed to invoke an emotional response and
>> make the infringement seem far worse than it really is, and do not help
>> anyone.
>
> "immoral"???  Really?  You consider the "misuse" of FPGA development
> software to be "immoral"?  It is illegal by definition.  I'm not sure I
> consider it to be unethical in all cases even.  There are times when I
> can't use the stuff because of a license expiring and the licensing
> process taking days.  If I were to crack the license on a copy of the
> free FPGA development software so I can get my work done while waiting
> for a license file, I don't consider that to be either "immoral" or
> "unethical".
>

Well, that's a matter for personal opinion.  Probably we all can think 
of times when we have cheated on licences or abused copyright and which 
we don't view as immoral or unethical - and we can all think of times 
when other people have done so that we /do/ think is immoral or 
unethical (I know that applies to me).  In general, I would view 
breaking code to gain free use of software that normally costs 
significant amounts of money as unethical - but I am certainly not going 
to judge someone who merely "bends" the rules a little to get their work 
done, especially when the result is more sales for the supplier.  There 
is plenty of grey area here - but I think the person posting the crack 
is well into the black area.



Article: 157338
Subject: Re: Bypass Xilinx flexlm license check
From: glen herrmannsfeldt <gah@ugcs.caltech.edu>
Date: Mon, 24 Nov 2014 22:31:08 +0000 (UTC)
Links: << >>  << T >>  << A >>
alb <al.basili@gmail.com> wrote:

(snip)

> I must admit that I've always only considered piracy as the act of 
> 'copying/reproducing' without permission, hence not really applicable to 
> what the OP was doing.

Not sure about the OP, but what do you call the case when you have
a license, but flexlm mistakenly believes that all are in use?

I have in the past known license managers to get confused, for 
example when a machine crashes without releasing one.

-- glen


Article: 157339
Subject: Re: Bypass Xilinx flexlm license check
From: al.basili@gmail.com (alb)
Date: 25 Nov 2014 07:17:42 GMT
Links: << >>  << T >>  << A >>
Hi Rick,

rickman <gnuarm@gmail.com> wrote:
[]
>> HTH somebody,
> 
> HTH?

A little bit of hacker culture from the good ol'days, search in the 
glossary and find the acronym for yourself 
http://www.catb.org/jargon/html/

BTW GIYF!

Al

Article: 157340
Subject: Re: Bypass Xilinx flexlm license check
From: rickman <gnuarm@gmail.com>
Date: Tue, 25 Nov 2014 02:24:21 -0500
Links: << >>  << T >>  << A >>
On 11/25/2014 2:17 AM, alb wrote:
> Hi Rick,
>
> rickman <gnuarm@gmail.com> wrote:
> []
>>> HTH somebody,
>>
>> HTH?
>
> A little bit of hacker culture from the good ol'days, search in the
> glossary and find the acronym for yourself
> http://www.catb.org/jargon/html/
>
> BTW GIYF!

Thanks, but no thanks... I have more useless info than I care to 
remember.  Don't need to add any more.

-- 

Rick

Article: 157341
Subject: Re: Bypass Xilinx flexlm license check
From: al.basili@gmail.com (alb)
Date: 25 Nov 2014 11:07:33 GMT
Links: << >>  << T >>  << A >>
Hi Glen,

glen herrmannsfeldt <gah@ugcs.caltech.edu> wrote:
[]
>> I must admit that I've always only considered piracy as the act of 
>> 'copying/reproducing' without permission, hence not really applicable to 
>> what the OP was doing.
> 
> Not sure about the OP, but what do you call the case when you have
> a license, but flexlm mistakenly believes that all are in use?

These types of 'malfunctions' will never be accepted as a justification 
for cracking the license and/or the license server. It would be 
equivalent to stealing a car because yours is temporary out of gas!

In nearly every license there's a clause of NO WARRANTY that you accept 
when using the software and while the software provider is relatively 
keen to solve 'major' problems, they might not be equally interested to 
get you out of the mud because you screwed up.

We all know that s**t happens and when it happens your only legal choice 
is to call them and try to get an answer.

License managers are far away from perfection as any other artifact. 
Nevertheless they provide a sufficiently detailed debugging 
infrastructure to spot the issue rather soon. In your bug report provide 
the log files of your lmgrd (is *always* good practice to store logging 
information from the server to a file). 

Often the issue is on your side and it's handled by some call center 
delocalized in Sumatra. Some times though your question might trigger a 
patch.

> I have in the past known license managers to get confused, for 
> example when a machine crashes without releasing one.

with flexlm you can use lmremove in those cases, there's still an issue 
of 'linger time' of 30 minutes which may affect you, but depending on 
how many of you are using the license server you can simply think about 
restarting the flexlm which will spawn the vendor daemons and clear 
their internal list of used licenses, causing all users to lose their 
grants. Usually the license grant is regained as soon as the lmgrd is 
restarted, but it may happen that the license module in the client 
application has caused the application to quit in the meantime.

The FLEXlm UM is IMO quite easy to follow: 
http://www.vcpc.univie.ac.at/information/software/pgi/flexuser/TOC.htm

If the software product and their licensing schemes do not suit you 
well, instead of breaking the law and forging the contract you signed 
when you bought the product, put more efforts in alternative products 
with a licensing philosophy that suit you more.

As a customer we always have a choice and we should use it to send clear 
messages to vendors. Unfortunately the EDA tools empire is highly 
fortified and cluttered by millions of patents which eventually minimize 
your available choice.

Don't forget though that one of the most powerful means the EDA vendors 
have to stay afloat is through 'lock ins'. Even if you crack the license 
but *use* their software, you'll spread it within your organization and 
create consense, expertise and an infrastructure around that product 
that would be difficult to get away from. EDA vendors know that and can 
push this philosophy to the extreme, i.e. as long as you use their 
software they won't care much if you've paid for it.

Al

Article: 157342
Subject: IC40HX PLL Simulation
From: MK <mk@nospam.co.uk>
Date: Tue, 25 Nov 2014 17:16:36 +0000
Links: << >>  << T >>  << A >>
I'm trying to get started with a design using a Lattice ICE40HX (done
that before) but this time I'm using the PLL. My usual design flow (with
Lattice ECP3  or XP2) is to use the Lattice IP generator to make a VHDL
entity which I drop into an Aldec HDL workspace where they happily
compile and can be simulated. (works with PLLs, RAMs, DSP etc).
The ICE40 tool makes two 'VHDL' files:
(called pll_24_48_inst.vhd and pll_24_48.vhd)

the simulator can't cope with pll_24_48.vhd, initially because I can't 
locate SB_PLL40_PAD - if anyone has ever managed to simulate the ICE40 
PLLs I'd love to know how.

For now I'm making my own simulatable PLL model but I have to be very 
careful to make it totally interchangeable with the pll_24_48.vhd which 
is what the synthesiser uses.

Michael Kellett


pll_24_48_inst: pll_24_48
port map(
           PACKAGEPIN => ,
           PLLOUTCORE => ,
           PLLOUTGLOBAL => ,
           RESET => ,
           LOCK =>
         );


and

library IEEE;
use IEEE.std_logic_1164.all;

entity pll_24_48 is
port(
       PACKAGEPIN: in std_logic;
       RESET: in std_logic;
       PLLOUTCORE: out std_logic;
       PLLOUTGLOBAL: out std_logic;
       LOCK: out std_logic
     );
end entity pll_24_48;

architecture BEHAVIOR of pll_24_48 is
component SB_PLL40_PAD
   generic (
        		--- Feedback
		FEEDBACK_PATH	 		 : string := "SIMPLE"; -- String (simple, delay,
phase_and_delay, external)
		DELAY_ADJUSTMENT_MODE_FEEDBACK 	 : string := "FIXED";
		DELAY_ADJUSTMENT_MODE_RELATIVE 	 : string := "FIXED";
		SHIFTREG_DIV_MODE 		: bit_vector(1 downto 0)	:= "00"; 	 --  0-->Divide
by 4, 1-->Divide by 7, 3 -->Divide by 5	
	  	FDA_FEEDBACK 			: bit_vector(3 downto 0) 	:= "0000"; 	 --  Integer
(0-15).
		FDA_RELATIVE 			: bit_vector(3 downto 0)	:= "0000"; 	 --  Integer (0-15).
		PLLOUT_SELECT			: string := "GENCLK";

   		--- Use the spread sheet to populate the values below
		DIVF				: bit_vector(6 downto 0);  -- Determine a good default value
		DIVR				: bit_vector(3 downto 0);  -- Determine a good default value
		DIVQ				: bit_vector(2 downto 0);  -- Determine a good default value
		FILTER_RANGE			: bit_vector(2 downto 0);  -- Determine a good default
value

   		--- Additional C-Bits
   		ENABLE_ICEGATE			: bit := '0';

   		--- Test Mode Parameter
		TEST_MODE			: bit := '0';
		EXTERNAL_DIVIDE_FACTOR		: integer := 1 -- Not Used by model, Added for
PLL config GUI
        );
   port (
         PACKAGEPIN		: inout std_logic;
         PLLOUTCORE		: out std_logic;		    -- PLL output to core logic
         PLLOUTGLOBAL		: out std_logic;		    -- PLL output to global network
         EXTFEEDBACK		: in std_logic;			    -- Driven by core logic
         DYNAMICDELAY		: in std_logic_vector (7 downto 0); -- Driven by
core logic
         LOCK				: out std_logic;	 	    -- Output of PLL
         BYPASS			: in std_logic;			    -- Driven by core logic
         RESETB			: in std_logic;			    -- Driven by core logic
         LATCHINPUTVALUE		: in std_logic;			    -- iCEGate Signal
         -- Test Pins
         SDO			: out std_logic;				-- Output of PLL
         SDI			: in std_logic;					-- Driven by core logic
         SCLK			: in std_logic					-- Driven by core logic
        );
end component;
begin
pll_24_48_inst: SB_PLL40_PAD
-- Fin=24, Fout=48
generic map(
              DIVR => "0000",
              DIVF => "0011111",
              DIVQ => "100",
              FILTER_RANGE => "010",
              FEEDBACK_PATH => "SIMPLE",
              DELAY_ADJUSTMENT_MODE_FEEDBACK => "FIXED",
              FDA_FEEDBACK => "0000",
              DELAY_ADJUSTMENT_MODE_RELATIVE => "FIXED",
              FDA_RELATIVE => "0000",
              SHIFTREG_DIV_MODE => "00",
              PLLOUT_SELECT => "GENCLK",
              ENABLE_ICEGATE => '0'
            )
port map(
           PACKAGEPIN => PACKAGEPIN,
           PLLOUTCORE => PLLOUTCORE,
           PLLOUTGLOBAL => PLLOUTGLOBAL,
           EXTFEEDBACK => open,
           DYNAMICDELAY => open,
           RESETB => RESET,
           BYPASS => '0',
           LATCHINPUTVALUE => open,
           LOCK => LOCK,
           SDI => open,
           SDO => open,
           SCLK => open
         );

end BEHAVIOR;

Article: 157343
Subject: Re: Bypass Xilinx flexlm license check
From: "Tomas D." <mailsoc@gmial.com>
Date: Tue, 25 Nov 2014 20:39:58 -0000
Links: << >>  << T >>  << A >>
Hello Mr. alb,

> Imagine you do not have the means to protect your thoughts/ideas in a
> safe manner and somebody strong enough and powerful enough can spoil
> your weaknesses and use your thoughts/ideas to make profits.

If someone would tell me, that there's a weakness in my safe, I would do my 
best to make it safer. You wouldn't do the same?

Anybody doesn't have to say the open code of my safe, as in this case, I 
didn't tell the methods how to get the software hacked, but the word has 
been spread for a long time now.

You don't think so? 



Article: 157344
Subject: FYP Selection!
From: awaish2011@namal.edu.pk
Date: Tue, 25 Nov 2014 23:48:33 -0800 (PST)
Links: << >>  << T >>  << A >>
I have to choose a project for FYP from these three topics: I am confused b=
etween these three topics.=20
My aim after Bachelors is to go for MS in VLSI. Which will be best for help=
ing me in MS?
1.FPGA-based acceleration of a weather or oceanic model. Will look into a t=
ypical kernel=20
2. In this project, the students will implement computational cancer biolog=
y cellular automaton algorithms for FPGA target. These algorithms will typi=
cally fall into the category of "multiagent systems", and the project will =
involve investigation of suitable ways to parallelize and accelerate them u=
sing FPGAs.=20
3. Implementation of a CHStone benchmark (Blowfish) on FPGA, and comparing =
its performance with software implementation. Use of HLS tools and comparis=
on with hand-coded implementation. Exploration of different implementation =
strategies and architectures.

I am thinking of going with third one.
All opinions are welcome :)

Article: 157345
Subject: Re: Bypass Xilinx flexlm license check
From: al.basili@gmail.com (alb)
Date: 26 Nov 2014 09:56:04 GMT
Links: << >>  << T >>  << A >>
Hi Tomas,

Tomas D. <mailsoc@gmial.com> wrote:
[]
> If someone would tell me, that there's a weakness in my safe, I would do my 
> best to make it safer. You wouldn't do the same?

I'd appreciate if somebody tells me that I have a weakness in my safer, 
on the contrary I would certainly not be happy if the same guy posts a 
message wide open on newsserver mirrored a gazillion times with my code 
in big letters.

> Anybody doesn't have to say the open code of my safe, as in this case, I 
> didn't tell the methods how to get the software hacked, but the word has 
> been spread for a long time now.

This is actually what you did. You provided the exact sequence on how to 
*crack* (not *hack*, see the difference here on the 4th paragraph: 
http://www.catb.org/esr/faqs/hacker-howto.html#what_is)

> You don't think so? 

No I don't.

Al

Article: 157346
Subject: Re: Bypass Xilinx flexlm license check
From: al.basili@gmail.com (alb)
Date: 26 Nov 2014 09:58:37 GMT
Links: << >>  << T >>  << A >>
Hi Tomas,

alb <al.basili@gmail.com> wrote:
>> If someone would tell me, that there's a weakness in my safe, I would 
>> do my best to make it safer. You wouldn't do the same?
> 
> I'd appreciate if somebody tells me that I have a weakness in my 
> safer, on the contrary I would certainly not be happy if the same guy 
> posts a message wide open on newsserver mirrored a gazillion times 
> with my code in big letters.

please disregard the previous message since for a threading issue I 
thought I was replying to the OP. My apologies, I'll reply to your 
message separately.

Al

Article: 157347
Subject: Re: Bypass Xilinx flexlm license check
From: al.basili@gmail.com (alb)
Date: 26 Nov 2014 10:12:42 GMT
Links: << >>  << T >>  << A >>
Hi Tomas,

Tomas D. <mailsoc@gmial.com> wrote:
>> Imagine you do not have the means to protect your thoughts/ideas in a
>> safe manner and somebody strong enough and powerful enough can spoil
>> your weaknesses and use your thoughts/ideas to make profits.
> 
> If someone would tell me, that there's a weakness in my safe, I would do my 
> best to make it safer. You wouldn't do the same?

If I find a weakness in somebody's safe I'd tell him. It would be up to 
him to take the counter measures to make it safer.

> Anybody doesn't have to say the open code of my safe, as in this case, I 
> didn't tell the methods how to get the software hacked, but the word has 
> been spread for a long time now.

When it comes to security, the tipical approach is to reveal the bug 
once is *fixed* in order not to expose the affected victim to a massive 
attack. If you found a breach, by chance or because of your skills or 
job, you should report it to Xilinx before the breach can be exploited 
further.

> You don't think so? 

I do not think that forging licenses, cracking software and stealing 
artwork is ethical, but, as somebody pointed out earlier, ethics may 
vary according to culture/beliefs/social environment. I am certainly 
sure that those actions are *illegal*, more or less everywhere.

Al

Article: 157348
Subject: Re: Bypass Xilinx flexlm license check
From: rickman <gnuarm@gmail.com>
Date: Wed, 26 Nov 2014 05:27:36 -0500
Links: << >>  << T >>  << A >>
On 11/26/2014 5:12 AM, alb wrote:
> Hi Tomas,
>
> Tomas D. <mailsoc@gmial.com> wrote:
>>> Imagine you do not have the means to protect your thoughts/ideas in a
>>> safe manner and somebody strong enough and powerful enough can spoil
>>> your weaknesses and use your thoughts/ideas to make profits.
>>
>> If someone would tell me, that there's a weakness in my safe, I would do my
>> best to make it safer. You wouldn't do the same?
>
> If I find a weakness in somebody's safe I'd tell him. It would be up to
> him to take the counter measures to make it safer.
>
>> Anybody doesn't have to say the open code of my safe, as in this case, I
>> didn't tell the methods how to get the software hacked, but the word has
>> been spread for a long time now.
>
> When it comes to security, the tipical approach is to reveal the bug
> once is *fixed* in order not to expose the affected victim to a massive
> attack. If you found a breach, by chance or because of your skills or
> job, you should report it to Xilinx before the breach can be exploited
> further.
>
>> You don't think so?
>
> I do not think that forging licenses, cracking software and stealing
> artwork is ethical, but, as somebody pointed out earlier, ethics may
> vary according to culture/beliefs/social environment. I am certainly
> sure that those actions are *illegal*, more or less everywhere.

I can't remember the exact context, but I recall a landmark case 
(possibly in Europe) where the user was not able to copy or use licensed 
software for some reason.  The company was not able to help, possibly 
because it was out of business or maybe the product was no longer 
supported.  The person cracked the key and used or copied the product as 
he was otherwise allowed to do.  Somehow this ended up in the courts and 
they upheld his right to do what was needed in the way of reverse 
engineering and work around to use the legally obtained product.

In the US there is a law specifically making it a crime to break 
"encryption" on any product.  It can be the most simple of cyphers but 
if you break the cypher, you can go to jail, no matter if there was any 
gain or even if the product was used improperly in any way.  Pretty 
bizarre if you ask me.

-- 

Rick

Article: 157349
Subject: Re: Bypass Xilinx flexlm license check
From: Anders.Montonen@kapsi.spam.stop.fi.invalid
Date: Wed, 26 Nov 2014 12:50:22 +0000 (UTC)
Links: << >>  << T >>  << A >>
rickman <gnuarm@gmail.com> wrote:

> I can't remember the exact context, but I recall a landmark case 
> (possibly in Europe) where the user was not able to copy or use licensed 
> software for some reason.  The company was not able to help, possibly 
> because it was out of business or maybe the product was no longer 
> supported.  The person cracked the key and used or copied the product as 
> he was otherwise allowed to do.  Somehow this ended up in the courts and 
> they upheld his right to do what was needed in the way of reverse 
> engineering and work around to use the legally obtained product.

Finnish copyright law explicitly allows you to make modifications to
legally obtained computer software that are necessary to make it work 
for its intended purpose. The legislation also explicitly states that 
the prohibitions on circumventing effective technological copy 
protection measures are not applied to computer software.

-a



Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search