Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Threads Starting Oct 2003

61261: 03/10/01: Mark: Interface Between National Semi Channel Link TX AND Virtex-II
61263: 03/10/01: RobertP: DP RAM infering
    61266: 03/10/01: RobertP: Re: DP RAM infering
        61281: 03/10/01: FE: Re: DP RAM infering
        61284: 03/10/01: Andras Tantos: Re: DP RAM infering
    61300: 03/10/01: Vinh Pham: Re: DP RAM infering
        61346: 03/10/02: RobertP: Re: DP RAM infering
            61349: 03/10/02: Vinh Pham: Re: DP RAM infering
61269: 03/10/01: Vakaras: Any chance to buy Cyclone?
    61274: 03/10/01: Petter Gustad: Re: Any chance to buy Cyclone?
        61305: 03/10/01: Vakaras: Re: Any chance to buy Cyclone?
            61311: 03/10/02: Petter Gustad: Re: Any chance to buy Cyclone?
61273: 03/10/01: Amontec Team, Laurent Gauch: Automatic I/O voltage sensing (as XILINX ParallelCable IV)
    61280: 03/10/01: Peter Wallace: Re: Automatic I/O voltage sensing (as XILINX ParallelCable IV)
    61321: 03/10/01: Stephan Buchholz: Re: Automatic I/O voltage sensing (as XILINX ParallelCable IV)
        61353: 03/10/02: Amontec Team, Laurent Gauch: Re: Automatic I/O voltage sensing (as XILINX ParallelCable IV)
61275: 03/10/01: Swarna B: Limitations of Xilinx coregen or limitations with using Xilinx primitives in synthesis.
    61310: 03/10/01: Vinh Pham: Re: Limitations of Xilinx coregen or limitations with using Xilinx primitives in synthesis.
61282: 03/10/01: Kiran: Parameterized Multiplier in Xilinx FPGA
    61283: 03/10/01: Uwe Bonnes: Re: Parameterized Multiplier in Xilinx FPGA
        61329: 03/10/01: Jake Janovetz: Re: Parameterized Multiplier in Xilinx FPGA
            61342: 03/10/02: Uwe Bonnes: Re: Parameterized Multiplier in Xilinx FPGA
    61330: 03/10/01: Jake Janovetz: Re: Parameterized Multiplier in Xilinx FPGA
    61350: 03/10/02: ykagarwal: Re: Parameterized Multiplier in Xilinx FPGA
61288: 03/10/01: John Providenza: Looking for recent Altera Quartus Verilog synthesis experience
    61291: 03/10/01: Mike Treseler: Re: Looking for recent Altera Quartus Verilog synthesis experience
    61332: 03/10/02: Subroto Datta: Re: Looking for recent Altera Quartus Verilog synthesis experience
    61387: 03/10/02: H. Peter Anvin: Re: Looking for recent Altera Quartus Verilog synthesis experience
61292: 03/10/01: Nicholas C. Weaver: Any word on the V2Pro-X?
    61304: 03/10/01: Amontec Team, Laurent Gauch: Re: Any word on the V2Pro-X?
    61312: 03/10/01: Vinh Pham: Re: Any word on the V2Pro-X?
61327: 03/10/01: Jake Janovetz: Good VHDL/Verilog editor?
    61331: 03/10/01: Stan Lackey: Re: Good VHDL/Verilog editor?
        61344: 03/10/02: Mario Trams: Re: Good VHDL/Verilog editor?
        61357: 03/10/02: jakab tanko: Re: Good VHDL/Verilog editor?
            61358: 03/10/02: Bob Perlman: Re: Good VHDL/Verilog editor?
    61333: 03/10/01: Andrew Paule: Re: Good VHDL/Verilog editor?
    61335: 03/10/01: Ray Andraka: Re: Good VHDL/Verilog editor?
        61354: 03/10/02: Valentin Tihomirov: Re: Good VHDL/Verilog editor?
            61373: 03/10/02: lee: Re: Good VHDL/Verilog editor?
            61377: 03/10/02: Jake Janovetz: Re: Good VHDL/Verilog editor?
                61414: 03/10/03: Valentin Tihomirov: Re: Good VHDL/Verilog editor?
                    61442: 03/10/03: Jake Janovetz: Re: Good VHDL/Verilog editor?
    61493: 03/10/06: Alex Gibson: Re: Good VHDL/Verilog editor?
61337: 03/10/01: Brian Davis: LVDS_25_DCI : Top Ten List
    61341: 03/10/02: Bob: Re: LVDS_25_DCI : Top Ten List
    61359: 03/10/02: Austin Lesea: Re: LVDS_25_DCI : Top Ten List
        61402: 03/10/02: Brian Davis: Re: LVDS_25_DCI : Top Ten List
            61427: 03/10/03: Austin Lesea: Re: LVDS_25_DCI : Top Ten List
                61438: 03/10/03: qlyus: Re: LVDS_25_DCI : Top Ten List
                    61441: 03/10/03: Uwe Bonnes: Re: LVDS_25_DCI : Top Ten List
                61467: 03/10/04: Brian Davis: Re: LVDS_25_DCI : Top Ten List
                    61528: 03/10/06: Austin Lesea: Re: LVDS_25_DCI : Top Ten List
                        61569: 03/10/06: Brian Davis: Re: LVDS_25_DCI : Top Ten List
                            61589: 03/10/07: Austin Lesea: Re: LVDS_25_DCI : Top Ten List
                                61625: 03/10/07: Brian Davis: Re: LVDS_25_DCI : Top Ten List
                                    61660: 03/10/08: Austin Lesea: ....and he left with his marbles.....
                                        61688: 03/10/08: Brian Davis: Re: ....and he left with his marbles.....
                                            61712: 03/10/09: rickman: Re: ....and he left with his marbles.....
                                                61714: 03/10/09: Austin Lesea: Re: Input capacitance
                                                    61721: 03/10/09: Austin Lesea: Re: Input capacitance: "L" vs "X"
                                                    61750: 03/10/09: Brian Davis: Re: Input capacitance
    61376: 03/10/02: Bob Perlman: Re: LVDS_25_DCI : Top Ten List
        61403: 03/10/02: Brian Davis: Re: LVDS_25_DCI : Top Ten List
61338: 03/10/01: Tom Seim: ISE 6.1 Dies Out of the Gate
    61392: 03/10/02: Marc Guardiani: Re: ISE 6.1 Dies Out of the Gate
        61454: 03/10/03: Tom Seim: Re: ISE 6.1 Dies Out of the Gate
            62036: 03/10/17: Bill Hanna: Re: ISE 6.1 Dies Out of the Gate
61340: 03/10/01: naveen: Host-PCI Bridge
    61345: 03/10/02: Mario Trams: Re: Host-PCI Bridge
        61394: 03/10/02: naveen: Re: Host-PCI Bridge
            61506: 03/10/06: Mario Trams: Re: Host-PCI Bridge
61351: 03/10/02: Don: Evaluation time of Emac Core?
    61398: 03/10/03: John Williams: Re: Evaluation time of Emac Core?
61355: 03/10/02: ge: CUPL documentation?
    61356: 03/10/02: ge: Re: CUPL documentation?
        61409: 03/10/03: Jim Granville: Re: CUPL documentation?
61361: 03/10/02: DK: High-performance workstation
    61369: 03/10/02: Nicholas C. Weaver: Re: High-performance workstation
    61371: 03/10/02: Mike Treseler: Re: High-performance workstation
    61379: 03/10/02: Steve Lass: Re: High-performance workstation
    61388: 03/10/02: H. Peter Anvin: Re: High-performance workstation
61375: 03/10/02: MM: Safe state machine design problem
    61380: 03/10/02: Mike Treseler: Re: Safe state machine design problem
        61381: 03/10/02: MM: Re: Safe state machine design problem
            61383: 03/10/02: Mike Treseler: Re: Safe state machine design problem
                61404: 03/10/03: MM: Re: Safe state machine design problem
    61401: 03/10/03: Martin Euredjian: Re: Safe state machine design problem
        61405: 03/10/03: MM: Re: Safe state machine design problem
            61406: 03/10/03: Martin Euredjian: Re: Safe state machine design problem
61385: 03/10/02: pjjones: Quartus II tutorial vs the real world
    61399: 03/10/02: Jerry: Re: Quartus II tutorial vs the real world
    61419: 03/10/03: Nial Stewart: Re: Quartus II tutorial vs the real world
        61437: 03/10/03: crob: Re: Quartus II tutorial vs the real world
            61473: 03/10/05: Nial Stewart: Re: Quartus II tutorial vs the real world
    61429: 03/10/03: Jesse Kempa: Re: Quartus II tutorial vs the real world
    61431: 03/10/03: Petter Gustad: Re: Quartus II tutorial vs the real world
    61614: 03/10/07: pjjones: Re: Quartus II tutorial vs the real world
61386: 03/10/02: Jon Beniston: MicroBlaze size
    61410: 03/10/03: Goran Bilski: Re: MicroBlaze size
        61428: 03/10/03: Jon Beniston: Re: MicroBlaze size
            61494: 03/10/06: Goran Bilski: Re: MicroBlaze size
            61514: 03/10/06: Antti Lukats: Re: MicroBlaze size
            61534: 03/10/06: Jesse Kempa: Re: MicroBlaze size
61391: 03/10/02: Vinh Pham: Apology to Martin Erudjian
    61395: 03/10/03: Martin Euredjian: Re: Apology to Martin Erudjian
        61440: 03/10/03: Vinh Pham: Re: Apology to Martin Erudjian
61393: 03/10/03: Vinh Pham: Graphics rendering revisited
    61396: 03/10/03: Martin Euredjian: Re: Graphics rendering revisited
        61443: 03/10/03: Vinh Pham: Re: Graphics rendering revisited
        61456: 03/10/04: Jan: Re: Graphics rendering revisited
            61457: 03/10/04: Martin Euredjian: Re: Graphics rendering revisited
    61434: 03/10/03: marlboro: Re: Graphics rendering revisited
    61435: 03/10/03: marlboro: Re: Graphics rendering revisited
    61439: 03/10/03: Jon Elson: Re: Graphics rendering revisited
        61446: 03/10/03: Vinh Pham: Re: Graphics rendering revisited
            61784: 03/10/10: Jon Elson: Re: Graphics rendering revisited
                61794: 03/10/11: Vinh Pham: Re: Graphics rendering revisited
        61455: 03/10/04: Martin Euredjian: Re: Graphics rendering revisited
            61785: 03/10/10: Jon Elson: Re: Graphics rendering revisited
                61789: 03/10/10: Martin Euredjian: Re: Graphics rendering revisited
                    61892: 03/10/14: Jon Elson: Re: Graphics rendering revisited
61407: 03/10/03: Martin Euredjian: Xilinx courses
    61430: 03/10/03: Mike Treseler: Re: Xilinx courses
        61449: 03/10/04: Martin Euredjian: Re: Xilinx courses
            61500: 03/10/06: Vinh Pham: Re: Xilinx courses
                61572: 03/10/07: Hal Murray: Re: Xilinx courses
                    61577: 03/10/07: Martin Euredjian: Re: Xilinx courses
                        61603: 03/10/07: Vinh Pham: Re: Xilinx courses
                    61587: 03/10/07: Austin Lesea: Re: Xilinx courses
                    61600: 03/10/07: Vinh Pham: Re: Xilinx courses
            61542: 03/10/06: Mike Treseler: Re: Xilinx courses
                61599: 03/10/07: Ray Andraka: Re: Xilinx courses
                    61602: 03/10/07: Peter Alfke: Re: Xilinx courses
                        61604: 03/10/07: Jonathan Bromley: Re: Xilinx courses
            61598: 03/10/07: Ray Andraka: Re: Xilinx courses
    61432: 03/10/03: Austin Lesea: Re: Xilinx courses
        61460: 03/10/04: Martin Euredjian: Re: Xilinx courses
            61466: 03/10/04: Theron Hicks (Terry): Re: Xilinx courses
                61524: 03/10/06: Austin Lesea: Re: Xilinx courses
                    61544: 03/10/06: Theron Hicks: Re: Xilinx courses
                    61548: 03/10/06: Martin Euredjian: Re: Xilinx courses
                        61550: 03/10/06: Austin Lesea: Re: Xilinx courses
                            61555: 03/10/06: Martin Euredjian: Re: Xilinx courses
                                61556: 03/10/06: Austin Lesea: Re: Xilinx courses
                                    61560: 03/10/07: Martin Euredjian: Re: Xilinx courses
                61537: 03/10/06: Robert T. Binkley: Re: Xilinx courses
    61433: 03/10/03: Jesse Kempa: Re: Xilinx courses
        61461: 03/10/04: Martin Euredjian: Re: Xilinx courses
61415: 03/10/03: rider: CLOCK_SIGNAL constraint XILINX
61417: 03/10/03: Maxlim: large integer support in GNUPro for Altera Nios software development
    61420: 03/10/03: Ken Land: Re: large integer support in GNUPro for Altera Nios software development
        61452: 03/10/03: Maxlim: Re: large integer support in GNUPro for Altera Nios software development
            61536: 03/10/06: Jesse Kempa: Re: large integer support in GNUPro for Altera Nios software development
61418: 03/10/03: jakab tanko: Interesting article about FPGAs
    61447: 03/10/04: Vinh Pham: Re: Interesting article about FPGAs
    61448: 03/10/04: Hal Murray: Re: Interesting article about FPGAs
        61450: 03/10/04: Paul Leventis: Re: Interesting article about FPGAs
        61525: 03/10/06: Austin Lesea: Re: Interesting article about FPGAs
    61462: 03/10/04: Martin Euredjian: Re: Interesting article about FPGAs
        61468: 03/10/05: Jim Granville: Re: Interesting article about FPGAs
            61470: 03/10/05: Martin Euredjian: Re: Interesting article about FPGAs
                61475: 03/10/05: Paul Leventis: Re: Interesting article about FPGAs
                    61480: 03/10/05: Martin Euredjian: Re: Interesting article about FPGAs
                        61488: 03/10/06: Jim Granville: Re: Interesting article about FPGAs
                            61489: 03/10/06: Martin Euredjian: Re: Interesting article about FPGAs
                                61490: 03/10/06: Paul Leventis: Re: Interesting article about FPGAs
                        61509: 03/10/06: Brian Drummond: Re: Interesting article about FPGAs
                    61581: 03/10/07: Ray Andraka: Re: Interesting article about FPGAs
        61471: 03/10/04: Tom Seim: Re: Interesting article about FPGAs
            61472: 03/10/05: Martin Euredjian: Re: Interesting article about FPGAs
                61485: 03/10/05: Tom Seim: Re: Interesting article about FPGAs
                    61487: 03/10/05: Martin Euredjian: Re: Interesting article about FPGAs
61422: 03/10/03: Petter Gustad: Linux support in SDK 6.1i
61425: 03/10/03: Alex Ungerer: Simple I2C slave model (IO expander)
61458: 03/10/04: Panic: Reusing code (Altera Quartus II 3.0)
    61478: 03/10/05: Subroto Datta: Re: Reusing code (Altera Quartus II 3.0)
        61479: 03/10/05: Panic: Re: Reusing code (Altera Quartus II 3.0)
61474: 03/10/06: Michael Chan: Free timing diagram drawing software
    61486: 03/10/05: Tom Seim: Re: Free timing diagram drawing software
    157957: 15/06/04: elraymonds: Re: Free timing diagram drawing software
        157958: 15/06/04: GaborSzakacs: Re: Free timing diagram drawing software
            157959: 15/06/04: rickman: Re: Free timing diagram drawing software
                157960: 15/06/04: GaborSzakacs: Re: Free timing diagram drawing software
                    157961: 15/06/04: chrisabele: Re: Free timing diagram drawing software
                        157962: 15/06/04: rickman: Re: Free timing diagram drawing software
                            159409: 16/10/25: David Brown: Re: Free timing diagram drawing software
                            159413: 16/10/25: Theo Markettos: Re: Free timing diagram drawing software
                            159418: 16/10/26: David Brown: Re: Free timing diagram drawing software
        157985: 15/06/10: <dfab1954@gmail.com>: Re: Free timing diagram drawing software
        159386: 16/10/21: <wavemediagram@gmail.com>: Re: Free timing diagram drawing software
    157963: 15/06/05: Anssi Saari: Re: Free timing diagram drawing software
    159388: 16/10/22: Allan Herriman: Re: Free timing diagram drawing software
    159397: 16/10/24: rickman: Re: Free timing diagram drawing software
    159398: 16/10/24: Cecil Bayona: Re: Free timing diagram drawing software
    159399: 16/10/24: rickman: Re: Free timing diagram drawing software
    159400: 16/10/24: Tom Gardner: Re: Free timing diagram drawing software
    159401: 16/10/24: rickman: Re: Free timing diagram drawing software
    159403: 16/10/24: Tom Gardner: Re: Free timing diagram drawing software
    159405: 16/10/24: rickman: Re: Free timing diagram drawing software
    159407: 16/10/25: Tom Gardner: Re: Free timing diagram drawing software
    159408: 16/10/24: rickman: Re: Free timing diagram drawing software
    159410: 16/10/25: rickman: Re: Free timing diagram drawing software
    159411: 16/10/25: Tom Gardner: Re: Free timing diagram drawing software
    159412: 16/10/25: Tom Gardner: Re: Free timing diagram drawing software
    159414: 16/10/25: rickman: Re: Free timing diagram drawing software
    159415: 16/10/25: rickman: Re: Free timing diagram drawing software
    159416: 16/10/25: Cecil Bayona: Re: Free timing diagram drawing software
    159419: 16/10/26: Cecil Bayona: Re: Free timing diagram drawing software
61482: 03/10/05: zaf: How To: 3-input NAND gate using ACTEL ACT 1 logic module
    61526: 03/10/06: Russell Powell: Re: How To: 3-input NAND gate using ACTEL ACT 1 logic module
    61540: 03/10/06: Jonathan Bromley: Re: How To: 3-input NAND gate using ACTEL ACT 1 logic module
        61563: 03/10/07: Fred Bloggs: Re: How To: 3-input NAND gate using ACTEL ACT 1 logic module
    61583: 03/10/07: Fred Bloggs: Re: How To: 3-input NAND gate using ACTEL ACT 1 logic module
61495: 03/10/06: Morten Leikvoll: Timing from 1x to 2x and back
    61508: 03/10/06: Brian Drummond: Re: Timing from 1x to 2x and back
        61510: 03/10/06: Morten Leikvoll: Re: Timing from 1x to 2x and back
    61511: 03/10/06: Marc Randolph: Re: Timing from 1x to 2x and back
    61539: 03/10/06: John_H: Re: Timing from 1x to 2x and back
        61609: 03/10/07: Ray Andraka: Re: Timing from 1x to 2x and back
61501: 03/10/06: Guy Eschemann: Should I worry about metastability
    61502: 03/10/06: Simon Peacock: Re: Should I worry about metastability
    61503: 03/10/06: Vinh Pham: Re: Should I worry about metastability
        61518: 03/10/06: Paul Leventis: Re: Should I worry about metastability
        61521: 03/10/06: Guy Eschemann: Re: Should I worry about metastability
            61523: 03/10/06: Marc Randolph: Re: Should I worry about metastability
                61607: 03/10/07: Ray Andraka: Re: Should I worry about metastability
            61529: 03/10/06: rickman: Re: Should I worry about metastability
                61547: 03/10/06: FE: Re: Should I worry about metastability
        61531: 03/10/06: Hal Murray: Re: Should I worry about metastability
            61546: 03/10/06: Vinh Pham: Re: Should I worry about metastability
    61505: 03/10/06: Vinh Pham: Re: Should I worry about metastability
        61527: 03/10/06: rickman: Re: Should I worry about metastability
            61545: 03/10/06: Vinh Pham: Re: Should I worry about metastability
    61512: 03/10/06: Philip Freidin: Re: Should I worry about metastability
    61533: 03/10/06: Hal Murray: Re: Should I worry about metastability
        61538: 03/10/06: Peter Alfke: Re: Should I worry about metastability
            61549: 03/10/06: PO Laprise: Re: Should I worry about metastability
                61552: 03/10/06: Austin Lesea: Re: Should I worry about metastability
                    61557: 03/10/06: Marc Randolph: Re: Should I worry about metastability
                        61558: 03/10/06: Austin Lesea: Re: Should I worry about metastability
            61571: 03/10/07: Guy Eschemann: Re: Should I worry about metastability
                61575: 03/10/07: Simon Peacock: Re: Should I worry about metastability
                    61608: 03/10/07: Ray Andraka: Re: Should I worry about metastability
                        61642: 03/10/08: Simon Peacock: Re: Should I worry about metastability
                            61683: 03/10/08: Ray Andraka: Re: Should I worry about metastability
            62038: 03/10/17: David R Brooks: Re: Should I worry about metastability
                62060: 03/10/17: Peter Alfke: Re: Should I worry about metastability
                    62137: 03/10/20: Ray Andraka: Re: Should I worry about metastability
                        62164: 03/10/21: Guy Eschemann: Re: Should I worry about metastability
                            62166: 03/10/21: Phil Hays: Re: Should I worry about metastability
    61606: 03/10/07: Ray Andraka: Re: Should I worry about metastability
61516: 03/10/06: Bob: synplify vqm not able to fit in Quartus
    61519: 03/10/06: Subroto Datta: Re: synplify vqm not able to fit in Quartus
        61580: 03/10/07: Bob: Re: synplify vqm not able to fit in Quartus
            61591: 03/10/07: Mike Treseler: Re: synplify vqm not able to fit in Quartus
                61644: 03/10/08: Simon Peacock: Re: synplify vqm not able to fit in Quartus
                61653: 03/10/08: Bob: Re: synplify vqm not able to fit in Quartus
    61685: 03/10/09: Andrew Dauman: Re: synplify vqm not able to fit in Quartus
    61695: 03/10/08: Vaughn Betz: Re: synplify vqm not able to fit in Quartus
61522: 03/10/06: irum4: Problem with PCI cards
    61554: 03/10/06: H. Peter Anvin: Re: Problem with PCI cards
        61579: 03/10/07: irum4: Re: Problem with PCI cards
            61592: 03/10/07: Andy Peters: Re: Problem with PCI cards
                61661: 03/10/08: John Providenza: Re: Problem with PCI cards
                61664: 03/10/08: Nial Stewart: Re: Problem with PCI cards
                    61674: 03/10/08: H. Peter Anvin: Re: Problem with PCI cards
                        61676: 03/10/08: Nial Stewart: Re: Problem with PCI cards
                            61678: 03/10/08: H. Peter Anvin: Re: Problem with PCI cards
    61663: 03/10/08: Steve Casselman: Re: Problem with PCI cards
61530: 03/10/06: irum4: Problem with PCI cards
61532: 03/10/06: Panic: Design question (Working with Altera EPXA1F484C1)
    61553: 03/10/06: H. Peter Anvin: Re: Design question (Working with Altera EPXA1F484C1)
61535: 03/10/06: rickman: SDRAM types and availability
    61559: 03/10/06: Khim Bittle: Re: SDRAM types and availability
    61561: 03/10/07: Allan Herriman: Re: SDRAM types and availability
61562: 03/10/07: Martin Euredjian: RLOC specification
    61564: 03/10/06: Ray Andraka: Re: RLOC specification
        61570: 03/10/07: Martin Euredjian: Re: RLOC specification
61567: 03/10/06: Anjan: ise 5.2 sp 3 for spartan 3
    61596: 03/10/07: Ray Andraka: Re: ise 5.2 sp 3 for spartan 3
61568: 03/10/07: Arthur Sharp: Installing Xilinx 6.1 under Linux
    61588: 03/10/07: Georg Acher: Re: Installing Xilinx 6.1 under Linux
        61616: 03/10/08: Arthur Sharp: Re: Installing Xilinx 6.1 under Linux
            61655: 03/10/08: Georg Acher: Re: Installing Xilinx 6.1 under Linux
                61705: 03/10/09: Arthur Sharp: Re: Installing Xilinx 6.1 under Linux
    61708: 03/10/09: Felix Madlener: Re: Installing Xilinx 6.1 under Linux
61573: 03/10/07: Martin Euredjian: More RPM / RLOC fun
    61578: 03/10/07: Tim: Re: More RPM / RLOC fun
    61582: 03/10/07: Allan Herriman: Re: More RPM / RLOC fun
    61584: 03/10/07: Goran Bilski: Re: More RPM / RLOC fun
    61590: 03/10/07: John_H: Re: More RPM / RLOC fun
        61593: 03/10/07: Goran Bilski: Re: More RPM / RLOC fun
            61605: 03/10/07: Ray Andraka: Re: More RPM / RLOC fun
    61595: 03/10/07: Ray Andraka: Re: More RPM / RLOC fun
        61611: 03/10/07: Martin Euredjian: Re: More RPM / RLOC fun
            61613: 03/10/07: Martin Euredjian: Re: More RPM / RLOC fun
                61646: 03/10/08: Martin Euredjian: Re: More RPM / RLOC fun
            61617: 03/10/07: Martin Euredjian: Re: More RPM / RLOC fun
                61621: 03/10/07: Ray Andraka: Re: More RPM / RLOC fun
                    61648: 03/10/08: Allan Herriman: Re: More RPM / RLOC fun
                61638: 03/10/08: Goran Bilski: Re: More RPM / RLOC fun
                    61684: 03/10/08: Ray Andraka: Re: More RPM / RLOC fun
                        61699: 03/10/09: Goran Bilski: Re: More RPM / RLOC fun
                            61706: 03/10/09: Ray Andraka: Re: More RPM / RLOC fun
            61618: 03/10/07: Tim: Re: More RPM / RLOC fun
                61652: 03/10/08: Brian Davis: Re: More RPM / RLOC fun
61574: 03/10/07: Martin Thompson: Re: beginner - exisit some free schematics programmer for fpga ?
    61576: 03/10/07: Simon Peacock: Re: beginner - exisit some free schematics programmer for fpga ?
    61585: 03/10/07: Amontec Team, Laurent Gauch: Re: beginner - exisit some free schematics programmer for fpga ?
    61669: 03/10/08: Plenolo: Re: beginner - exisit some free schematics programmer for fpga ?
        61697: 03/10/09: Jens Hildebrandt: Re: beginner - exisit some free schematics programmer for fpga ?
61586: 03/10/07: Emile: BF957C Application
    61597: 03/10/07: Ray Andraka: Re: BF957C Application
    61610: 03/10/07: Symon: Re: BF957C Application
    61643: 03/10/08: Simon Peacock: Re: BF957C Application
    61658: 03/10/08: Magnus Homann: Re: BF957C Application
61594: 03/10/07: mintchoco: Avnet Xilinx Virtex II Development Board - getting started
    61619: 03/10/07: Martin Euredjian: Re: Avnet Xilinx Virtex II Development Board - getting started
        61626: 03/10/07: mintchoco: Re: Avnet Xilinx Virtex II Development Board - getting started
            61631: 03/10/08: Martin Euredjian: Re: Avnet Xilinx Virtex II Development Board - getting started
61620: 03/10/07: Julien Sobrier: ASIC/FPGA programming
    61624: 03/10/08: Jim Wu: Re: ASIC/FPGA programming
61622: 03/10/07: John Providenza: Xilinx DCMs, DDR, CLK0, and CLK180
    61623: 03/10/07: Ray Andraka: Re: Xilinx DCMs, DDR, CLK0, and CLK180
    61627: 03/10/08: Marc Randolph: Re: Xilinx DCMs, DDR, CLK0, and CLK180
    61665: 03/10/08: John_H: Re: Xilinx DCMs, DDR, CLK0, and CLK180
61632: 03/10/08: Ben Popoola: Programmimg Altera serial configuration devices
    61641: 03/10/08: Simon Peacock: Re: Programmimg Altera serial configuration devices
        61717: 03/10/09: Eric Paillet: Re: Programmimg Altera serial configuration devices
61633: 03/10/08: Kevin Kilzer: Visualizing VHDL
    61634: 03/10/08: Martin Euredjian: Re: Visualizing VHDL
    61635: 03/10/08: Allan Herriman: Re: Visualizing VHDL
    61640: 03/10/08: Simon Peacock: Re: Visualizing VHDL
    61667: 03/10/08: Jon Elson: Re: Visualizing VHDL
        61675: 03/10/08: Martin Euredjian: Re: Visualizing VHDL
            61694: 03/10/09: Jon Elson: Re: Visualizing VHDL
    61686: 03/10/08: Patrick MacGregor: Re: Visualizing VHDL
        61687: 03/10/09: Martin Euredjian: Re: Visualizing VHDL
            61691: 03/10/09: Ray Andraka: Re: Visualizing VHDL
    61696: 03/10/08: Assaf Sarfati: Re: Visualizing VHDL
        61711: 03/10/09: rickman: Re: Visualizing VHDL
61637: 03/10/08: Bob: use of radix-2 ffts
    61656: 03/10/08: Sandeep: Re: use of radix-2 ffts
61639: 03/10/08: Vazquez: Implementing a fast cache in Altera Cyclone
    61645: 03/10/08: Hans Brand: Re: Implementing a fast cache in Altera Cyclone
        61650: 03/10/08: Paul Leventis: Re: Implementing a fast cache in Altera Cyclone
    61649: 03/10/08: Jonathan Bromley: Re: Implementing a fast cache in Altera Cyclone
        61747: 03/10/10: Tim: Re: Implementing a fast cache in Altera Cyclone
    61654: 03/10/08: Paul Leventis: Re: Implementing a fast cache in Altera Cyclone
61651: 03/10/08: Lehner Franz: Jtag
61662: 03/10/08: Ken: Xilinx dedicated multiers vs multipliers in slice fabric
    61666: 03/10/08: Nicholas C. Weaver: Re: Xilinx dedicated multiers vs multipliers in slice fabric
        61702: 03/10/09: Ken: Re: Xilinx dedicated multiers vs multipliers in slice fabric
            61734: 03/10/09: Ray Andraka: Re: Xilinx dedicated multiers vs multipliers in slice fabric
                61762: 03/10/10: Ken: Re: Xilinx dedicated multiers vs multipliers in slice fabric
61668: 03/10/08: rider: 5V Tolerant Spartan 2
    61670: 03/10/08: Austin Lesea: Re: 5V Tolerant Spartan 2
    61672: 03/10/08: Steve Lass: Re: 5V Tolerant Spartan 2
61671: 03/10/08: John Providenza: syncing the CLK0 outputs of two DCMs if they use CLKIN_DIVIDE_BY_2
    61677: 03/10/08: Austin Lesea: Re: syncing the CLK0 outputs of two DCMs if they use CLKIN_DIVIDE_BY_2
        61716: 03/10/09: John Providenza: Re: syncing the CLK0 outputs of two DCMs if they use CLKIN_DIVIDE_BY_2
            61718: 03/10/09: Austin Lesea: Re: syncing the CLK0 outputs of two DCMs if they use CLKIN_DIVIDE_BY_2
61681: 03/10/09: Morten Leikvoll: Placing FF's Relative to RAMB4s (xilinx)
    61720: 03/10/09: John_H: Re: Placing FF's Relative to RAMB4s (xilinx)
61689: 03/10/09: Martin Euredjian: Floorplanning, Routing, FPGA Editor
    61690: 03/10/09: Martin Euredjian: Re: Floorplanning, Routing, FPGA Editor
    61692: 03/10/09: Ray Andraka: Re: Floorplanning, Routing, FPGA Editor
    61719: 03/10/09: Barry Brown: Re: Floorplanning, Routing, FPGA Editor
        61730: 03/10/09: Martin Euredjian: Re: Floorplanning, Routing, FPGA Editor
            61733: 03/10/09: Ray Andraka: Re: Floorplanning, Routing, FPGA Editor
                61764: 03/10/10: Martin Euredjian: Re: Floorplanning, Routing, FPGA Editor
                    61768: 03/10/10: Ray Andraka: Re: Floorplanning, Routing, FPGA Editor
61693: 03/10/08: Jake Janovetz: Spartan 3 pinout typo?
    61722: 03/10/09: Steven K. Knapp: Re: Spartan 3 pinout typo?
    61835: 03/10/13: Steven K. Knapp: Re: Spartan 3 pinout typo?
        61836: 03/10/13: rickman: Re: Spartan 3 pinout typo?
            62232: 03/10/22: Marc Baker: Re: Spartan 3 pinout typo?
                62237: 03/10/22: rickman: Re: Spartan 3 pinout typo?
                    62281: 03/10/23: Steven K. Knapp: Re: Spartan 3 pinout typo?
        61854: 03/10/14: Jake Janovetz: Re: Spartan 3 pinout typo?
61701: 03/10/09: Christian Kramer: Quartus, JTAG, Programming Hardware
    61710: 03/10/09: Subroto Datta: Re: Quartus, JTAG, Programming Hardware
        61738: 03/10/09: Subroto Datta: Re: Quartus, JTAG, Programming Hardware
61703: 03/10/09: Christos: Quartus II simulation question.
    61724: 03/10/09: <tel2003@pathfinder.gr>: Re: Quartus II simulation question.
    61727: 03/10/09: Subroto Datta: Re: Quartus II simulation question.
        61841: 03/10/14: Christos: Re: Quartus II simulation question.
61704: 03/10/09: Martin Euredjian: Where is the logic?
    61728: 03/10/09: rickman: Re: Where is the logic?
        61731: 03/10/09: Martin Euredjian: Re: Where is the logic?
            61735: 03/10/09: Ray Andraka: Re: Where is the logic?
    61732: 03/10/09: Martin Euredjian: Re: Where is the logic?
        61742: 03/10/09: Ray Andraka: Re: Where is the logic?
            61744: 03/10/09: Martin Euredjian: Re: Where is the logic?
    61739: 03/10/09: Tom Branca: Re: Where is the logic?
        61741: 03/10/09: Ray Andraka: Re: Where is the logic?
            61746: 03/10/09: Martin Euredjian: Re: Where is the logic?
        61749: 03/10/10: Martin Euredjian: Re: Where is the logic?
61707: 03/10/09: Stephen Lohning: Initilization of block rams to create rom
    61804: 03/10/12: Philip Freidin: Re: Initilization of block rams to create rom
        61805: 03/10/12: Bob Efram: Re: Initilization of block rams to create rom
61715: 03/10/09: Vazquez: Why no synthesis?
    61737: 03/10/09: Mike Treseler: Re: Why no synthesis?
        61761: 03/10/09: Vazquez: Re: Why no synthesis?
            61781: 03/10/10: Mike Treseler: Re: Why no synthesis?
61725: 03/10/09: ge: cupl language reference?
61736: 03/10/09: Chad Bearden: pci-x133 to parallel pci-66
    61740: 03/10/09: Eric Crabill: Re: pci-x133 to parallel pci-66
        61767: 03/10/10: Chad Bearden: Re: pci-x133 to parallel pci-66
            61770: 03/10/10: Eric Crabill: Re: pci-x133 to parallel pci-66
                61777: 03/10/10: Nicholas C. Weaver: Re: pci-x133 to parallel pci-66
        61776: 03/10/10: Richard Iachetta: Re: pci-x133 to parallel pci-66
            61800: 03/10/11: Nahum Barnea: Re: pci-x133 to parallel pci-66
    61743: 03/10/09: H. Peter Anvin: Re: pci-x133 to parallel pci-66
        61765: 03/10/10: Chad Bearden: Re: pci-x133 to parallel pci-66
61752: 03/10/09: Y K: Inferring an accumulator using Verilog on Xilinx Spartan 2e
    61756: 03/10/10: Ray Andraka: Re: Inferring an accumulator using Verilog on Xilinx Spartan 2e
        61759: 03/10/10: Y K: Re: Inferring an accumulator using Verilog on Xilinx Spartan 2e
61753: 03/10/10: Richard B. Katz: FPGA/PLD Reliability: High Speeds and Advanced Processes
    61766: 03/10/10: Allan Herriman: Re: FPGA/PLD Reliability: High Speeds and Advanced Processes
61760: 03/10/10: Joachim Mann: Problems with PCI-CardbusCard (interface is an FPGA) on Windows
    61769: 03/10/10: Nial Stewart: Re: Problems with PCI-CardbusCard (interface is an FPGA) on Windows
        61771: 03/10/10: Eric Crabill: Re: Problems with PCI-CardbusCard (interface is an FPGA) on Windows
61772: 03/10/10: Sumit Gupta: Xilinx XC2S50: Unable to configure through slave serial mode
    61792: 03/10/10: Will: Re: Xilinx XC2S50: Unable to configure through slave serial mode
61773: 03/10/10: Sriram: VCC's HOTman
    61823: 03/10/13: Steve Casselman: Re: VCC's HOTman
        61829: 03/10/13: Sriram: Re: VCC's HOTman
            61833: 03/10/13: Steve Casselman: Re: VCC's HOTman
61778: 03/10/10: D Lee: Questions on Function Approximation (using FPGAs)
    61782: 03/10/10: Terje Mathisen: Re: Questions on Function Approximation (using FPGAs)
61779: 03/10/10: qlyus: Virtex-II Pro Core Voltage on ML300
    61783: 03/10/10: Austin Lesea: Re: Virtex-II Pro Core Voltage on ML300
61793: 03/10/11: blisca: from jedec to schematic ??
61795: 03/10/11: Joe Lawrence: RAM in Xilinx Spartan II
    61799: 03/10/12: Vinh Pham: Re: RAM in Xilinx Spartan II
        61870: 03/10/14: Joe Lawrence: Re: RAM in Xilinx Spartan II
61796: 03/10/11: nickel: FPGA Editor: Macro(Xilinx)
    61798: 03/10/11: Martin Euredjian: Re: FPGA Editor: Macro(Xilinx)
61797: 03/10/11: Brad Leyz: video effects eval boards
61801: 03/10/12: Pratip Mukherjee: Quartus help with package declaration
    61802: 03/10/12: Subroto Datta: Re: Quartus help with package declaration
61803: 03/10/12: Yury: Spartan-IIE Serial vs. JTAG configuration results in different functionality
61806: 03/10/12: Muthu: XST Timing report
    62074: 03/10/17: Anil Khanna: Re: XST Timing report
        62990: 03/11/12: Muthu: Re: XST Timing report
            63099: 03/11/14: Steve Lass: Re: XST Timing report
61807: 03/10/12: Anjan: finding delay
61809: 03/10/13: <sc01@hotmail.com>: PCMCIA FPGA Card
61811: 03/10/13: <sc01@hotmail.com>: How to select a FPGA
    61814: 03/10/13: Simon Peacock: Re: How to select a FPGA
    61818: 03/10/13: Peter Alfke: Re: How to select a FPGA
        61842: 03/10/14: Uwe Bonnes: Re: How to select a FPGA
    61827: 03/10/13: Vinh Pham: Re: How to select a FPGA
    62070: 03/10/17: H. Peter Anvin: Re: How to select a FPGA
61812: 03/10/13: Martin Euredjian: ISE6.1i Floorplanner
61813: 03/10/13: Martin Euredjian: ISE6.1i RPM's, Multipliers and grids
    61821: 03/10/13: John_H: Re: ISE6.1i RPM's, Multipliers and grids
        61840: 03/10/14: Martin Euredjian: Re: ISE6.1i RPM's, Multipliers and grids
            61861: 03/10/14: John_H: Re: ISE6.1i RPM's, Multipliers and grids
                61880: 03/10/14: Martin Euredjian: Re: ISE6.1i RPM's, Multipliers and grids
61815: 03/10/13: Mancini Stephane: Quartus 2.2, SOPC builder and leonardo
    61825: 03/10/13: Mike Treseler: Re: Quartus 2.2, SOPC builder and leonardo
        61850: 03/10/14: Mancini Stephane: Re: Quartus 2.2, SOPC builder and leonardo
            61868: 03/10/14: Mike Treseler: Re: Quartus 2.2, SOPC builder and leonardo
            62053: 03/10/17: Peter Sommerfeld: Re: Quartus 2.2, SOPC builder and leonardo
            62195: 03/10/21: Vaughn Betz: Re: Quartus 2.2, SOPC builder and leonardo
61816: 03/10/13: Steven: EPC16 will not Flash Program
    61851: 03/10/14: Thomas Bornhaupt: Re: EPC16 will not Flash Program
61817: 03/10/13: Nahum Barnea: PCI-X bridge from Xilinx LogiCORE and half bridge
    61826: 03/10/13: Eric Crabill: Re: PCI-X bridge from Xilinx LogiCORE and half bridge
        61855: 03/10/14: Nahum Barnea: Re: PCI-X bridge from Xilinx LogiCORE and half bridge
            61883: 03/10/14: Eric Crabill: Re: PCI-X bridge from Xilinx LogiCORE and half bridge
                61921: 03/10/15: Nahum Barnea: Re: PCI-X bridge from Xilinx LogiCORE and half bridge
                    61950: 03/10/15: Eric Crabill: Re: PCI-X bridge from Xilinx LogiCORE and half bridge
61819: 03/10/13: Peter: Please Help: Looking for XC3064 PLCC-84...
    61824: 03/10/13: Peter Alfke: Re: Please Help: Looking for XC3064 PLCC-84...
61820: 03/10/13: Joe Sabater: Debugging software in an ACEX device with Nios 32 via JTAG
    61966: 03/10/15: Jesse Kempa: Re: Debugging software in an ACEX device with Nios 32 via JTAG
        62139: 03/10/20: Jesse Kempa: Re: Debugging software in an ACEX device with Nios 32 via JTAG
61822: 03/10/13: Gregory Titievsky: Clock doesn't seem to work on Xilinx CoolRunner XPLA3
61828: 03/10/13: Ivan: ByteBlasterII
    61846: 03/10/14: Amontec Team, Laurent Gauch: Re: ByteBlasterII
        61922: 03/10/15: Fredrik: Re: ByteBlasterII
61830: 03/10/14: SneakerNet: mp3 project
    61832: 03/10/13: John_H: Re: mp3 project
        61834: 03/10/14: SneakerNet: Re: mp3 project
            61947: 03/10/15: Jesse Kempa: Re: mp3 project
    61837: 03/10/14: Henry: Re: mp3 project
        61878: 03/10/15: SneakerNet: Re: mp3 project
            61882: 03/10/14: Henry: Re: mp3 project
                61884: 03/10/15: SneakerNet: Re: mp3 project
    62228: 03/10/22: Jean-Jacques Bordes: Re: mp3 project
61831: 03/10/13: Patrick Robin: Xilinx "Programming failed" message
    61895: 03/10/14: Jon Elson: Re: Xilinx "Programming failed" message
        61918: 03/10/14: ram: Re: Xilinx "Programming failed" message
            62072: 03/10/17: Jon Elson: Re: Xilinx "Programming failed" message
61838: 03/10/13: Nitin: Pass transistor logic in a FPGA
    61847: 03/10/14: rickman: Re: Pass transistor logic in a FPGA
    61872: 03/10/14: Mike Treseler: Re: Pass transistor logic in a FPGA
    61890: 03/10/15: Jim Granville: Re: Pass transistor logic in a FPGA
61839: 03/10/13: John: Xilinx Logic Handbook
    61865: 03/10/14: Peter Alfke: Re: Xilinx Logic Handbook
        61924: 03/10/15: Martin Thompson: Re: Xilinx Logic Handbook
        61926: 03/10/15: Tim: Re: Xilinx Logic Handbook
61843: 03/10/14: José F. da Rocha: FPGA/CPLD With Analog Functions?
    61848: 03/10/14: rickman: Re: FPGA/CPLD With Analog Functions?
        61889: 03/10/15: Jim Granville: Re: FPGA/CPLD With Analog Functions?
            61931: 03/10/15: rickman: Re: FPGA/CPLD With Analog Functions?
                61971: 03/10/16: Jim Granville: Re: FPGA/CPLD With Analog Functions?
                    61974: 03/10/15: rickman: Re: FPGA/CPLD With Analog Functions?
    61866: 03/10/14: Geoffrey G. Rochat: Re: FPGA/CPLD With Analog Functions?
    61902: 03/10/15: Matt: Re: FPGA/CPLD With Analog Functions?
61844: 03/10/14: Dennis Binder: problem with XC18v01 and Spartan XCS20XL
    61856: 03/10/14: Sandeep Kulkarni: Re: problem with XC18v01 and Spartan XCS20XL
        61927: 03/10/15: Dennis Binder: Re: problem with XC18v01 and Spartan XCS20XL
61852: 03/10/14: Ed: Picojava FPGA and Development board
    62103: 03/10/19: Martin Schoeberl: Re: Picojava FPGA and Development board
61853: 03/10/14: Tom Tassignon: newbie linker script question
    61932: 03/10/15: ram: Re: newbie linker script question
61857: 03/10/14: Adam: How to program an XC5210
    61863: 03/10/14: Peter Alfke: Re: How to program an XC5210
        61894: 03/10/14: Adam: Re: How to program an XC5210
            61896: 03/10/14: Peter Alfke: Re: How to program an XC5210
                61900: 03/10/15: Jim Granville: Re: How to program an XC5210
            61901: 03/10/14: Steve Lass: Re: How to program an XC5210
                61915: 03/10/15: Ray Andraka: Re: How to program an XC5210
61860: 03/10/14: Brad Eckert: Universities that focus on IC design
    61893: 03/10/14: Jon Elson: Re: Universities that focus on IC design
    61903: 03/10/15: Jeff Cunningham: Re: Universities that focus on IC design
    61910: 03/10/14: ram: Re: Universities that focus on IC design
61862: 03/10/14: rickman: SpartanXL
    61869: 03/10/14: Peter Alfke: Re: SpartanXL
        61887: 03/10/14: rickman: Re: SpartanXL
            61891: 03/10/14: Uwe Bonnes: Re: SpartanXL
            61898: 03/10/15: Jim Granville: Re: SpartanXL
                61937: 03/10/15: Austin Lesea: Re: SpartanXL
            61928: 03/10/15: rickman: Re: SpartanXL
                61938: 03/10/15: Tim: Re: SpartanXL
                    61958: 03/10/15: rickman: Re: SpartanXL
                        61962: 03/10/15: Austin Lesea: Re: SpartanXL
                            61967: 03/10/16: Jim Granville: Re: SpartanXL
                                61972: 03/10/15: Austin Lesea: Re: SpartanXL
                                    61978: 03/10/15: rickman: Re: SpartanXL
                                        61979: 03/10/15: rickman: Re: SpartanXL
                                            62234: 03/10/22: Marc Baker: Re: SpartanXL
                                        62004: 03/10/16: Austin Lesea: Re: SpartanXL
                                            62007: 03/10/16: Peter Alfke: Re: SpartanXL
                                        62022: 03/10/17: Jim Granville: Re: SpartanXL
                61939: 03/10/15: Austin Lesea: Re: SpartanXL
61864: 03/10/14: Amstel: Electronic Dice ( 3 die ) In VHDL
    61867: 03/10/14: Jonathan Bromley: Re: Electronic Dice ( 3 die ) In VHDL
        61871: 03/10/14: Peter Alfke: Re: Electronic Dice ( 3 die ) In VHDL
            61879: 03/10/14: Nial Stewart: Re: Electronic Dice ( 3 die ) In VHDL
                61881: 03/10/14: Peter Alfke: Re: Electronic Dice ( 3 die ) In VHDL
                    61917: 03/10/14: Symon: Re: Electronic Dice ( 3 die ) In VHDL
                    62001: 03/10/16: Nial Stewart: Re: Electronic Dice ( 3 die ) In VHDL
                        62009: 03/10/16: Symon: Re: Electronic Dice ( 3 die ) In VHDL
                61886: 03/10/15: Jim Granville: Re: Electronic Dice ( 3 die ) In VHDL
                    61906: 03/10/14: Eric Smith: Re: Electronic Dice ( 3 die ) In VHDL
                        61908: 03/10/15: Jim Granville: Re: Electronic Dice ( 3 die ) In VHDL
                61905: 03/10/15: Vinh Pham: Re: Electronic Dice ( 3 die ) In VHDL
            61907: 03/10/15: Vinh Pham: Re: Electronic Dice ( 3 die ) In VHDL
                61911: 03/10/15: Nicholas C. Weaver: Re: Electronic Dice ( 3 die ) In VHDL
                    61914: 03/10/15: Vinh Pham: Re: Electronic Dice ( 3 die ) In VHDL
                        61945: 03/10/15: John_H: Re: Electronic Dice ( 3 die ) In VHDL
        61888: 03/10/14: Symon: Re: Electronic Dice ( 3 die ) In VHDL
            61930: 03/10/15: Jonathan Bromley: Re: Electronic Dice ( 3 die ) In VHDL
        61956: 03/10/15: Bob Perlman: Re: Electronic Dice ( 3 die ) In VHDL
    61899: 03/10/14: Chip: Re: Electronic Dice ( 3 die ) In VHDL
        61904: 03/10/14: Eric Smith: Re: Electronic Dice ( 3 die ) In VHDL
        61913: 03/10/15: Ray Andraka: Re: Electronic Dice ( 3 die ) In VHDL
            61968: 03/10/16: Jim Granville: Re: Electronic Dice ( 3 die ) In VHDL
                61982: 03/10/15: Ray Andraka: Re: Electronic Dice ( 3 die ) In VHDL
                    62021: 03/10/17: Jim Granville: Re: Electronic Dice ( 3 die ) In VHDL
                        62027: 03/10/16: Ray Andraka: Re: Electronic Dice ( 3 die ) In VHDL
        61919: 03/10/15: Vinh Pham: Re: Electronic Dice ( 3 die ) In VHDL
    61923: 03/10/15: jetmarc: Re: Electronic Dice ( 3 die ) In VHDL
        61929: 03/10/15: Ray Andraka: Re: Electronic Dice ( 3 die ) In VHDL
            61944: 03/10/15: PO Laprise: Re: Electronic Dice ( 3 die ) In VHDL
                61954: 03/10/15: Ray Andraka: Re: Electronic Dice ( 3 die ) In VHDL
            61946: 03/10/15: Vinh Pham: Re: Electronic Dice ( 3 die ) In VHDL
                61955: 03/10/15: Ray Andraka: Re: Electronic Dice ( 3 die ) In VHDL
                    61984: 03/10/16: Vinh Pham: Re: Electronic Dice ( 3 die ) In VHDL
            61957: 03/10/15: jetmarc: Re: Electronic Dice ( 3 die ) In VHDL
                61983: 03/10/15: Ray Andraka: Re: Electronic Dice ( 3 die ) In VHDL
61875: 03/10/14: Markus Meng: DCM driving multiple OBUF's ... skew in between ...
    61877: 03/10/14: Austin Lesea: Re: DCM driving multiple OBUF's ... skew in between ...
        61936: 03/10/15: John Providenza: Re: DCM driving multiple OBUF's ... skew in between ...
            61942: 03/10/15: Austin Lesea: Re: DCM driving multiple OBUF's ... skew in between ...
61885: 03/10/14: Khim Bittle: Altera mySupport
    62026: 03/10/16: Naveed: Re: Altera mySupport
        62056: 03/10/17: Mike Treseler: Re: Altera mySupport
61897: 03/10/15: SneakerNet: USB Core (Japanese Version) Revisited ;o(
    61925: 03/10/15: Antti Lukats: Re: USB Core (Japanese Version) Revisited ;o(
        61963: 03/10/16: SneakerNet: Re: USB Core (Japanese Version) Revisited ;o(
            61993: 03/10/15: Antti Lukats: Re: USB Core (Japanese Version) Revisited ;o(
                62017: 03/10/17: SneakerNet: Re: USB Core (Japanese Version) Revisited ;o(
61909: 03/10/15: DGW: simple project needed
    61948: 03/10/15: Eric Crabill: Re: simple project needed
    62071: 03/10/17: Sumit Gupta: Re: simple project needed
    62081: 03/10/18: Hans: Re: simple project needed
61912: 03/10/14: ram: Partial Reconfiguration
    61949: 03/10/15: Steve Lass: Re: Partial Reconfiguration
        61960: 03/10/15: rickman: Re: Partial Reconfiguration
            62018: 03/10/16: Steve Lass: Re: Partial Reconfiguration
        61986: 03/10/15: ram: Re: Partial Reconfiguration
61916: 03/10/14: ram: Partial/ Dynamic Reconfiguration Virtex 2 pro- does it have any help at all
61920: 03/10/14: John: Unsupported predefined attribute
61934: 03/10/15: Bram van de Kerkhof: Powersupply virtex 2 and spartan 3
    61940: 03/10/15: Austin Lesea: Re: Powersupply virtex 2 and spartan 3
    61959: 03/10/15: rickman: Re: Powersupply virtex 2 and spartan 3
61935: 03/10/15: John Retta: Hot Swap Considerations
61941: 03/10/15: John Providenza: Xilinx XAPP265 and 800Mb/sec data input....
    61943: 03/10/15: Austin Lesea: Re: Xilinx XAPP265 and 800Mb/sec data input....
61951: 03/10/15: Peter Alfke: To our future engineers, smart and otherwise...
    61973: 03/10/15: Jake Janovetz: Re: To our future engineers, smart and otherwise...
        61988: 03/10/16: Vinh Pham: Re: To our future engineers, smart and otherwise...
    61989: 03/10/16: Vinh Pham: Re: To our future engineers, smart and otherwise...
        61991: 03/10/16: Glen Herrmannsfeldt: Re: To our future engineers, smart and otherwise...
            61992: 03/10/16: Vinh Pham: Re: To our future engineers, smart and otherwise...
    62000: 03/10/16: Jonathan Bromley: Re: To our future engineers, smart and otherwise...
    62092: 03/10/19: JoeG: Re: To our future engineers, smart and otherwise...
        62099: 03/10/19: ram: Re: To our future engineers, smart and otherwise...
        62138: 03/10/20: H. Peter Anvin: Re: To our future engineers, smart and otherwise...
            62156: 03/10/20: john jakson: Re: To our future engineers, smart and otherwise...
61952: 03/10/15: PanJuHwa: ICAP Virtex2
    62006: 03/10/16: tk: Re: ICAP Virtex2
        63083: 03/11/13: xfpgas: Re: ICAP Virtex2
61953: 03/10/15: Nicholas C. Weaver: Ph.inisheD.
    61961: 03/10/15: rickman: Re: Ph.inisheD.
        61964: 03/10/15: Nicholas C. Weaver: Coredump on partial reconfig...
        62005: 03/10/16: Ray Andraka: Re: Ph.inisheD.
    61987: 03/10/16: Vinh Pham: Re: Ph.inisheD.
        62023: 03/10/16: Nicholas C. Weaver: Re: Ph.inisheD.
            62057: 03/10/17: Mike Treseler: Re: Ph.inisheD.
    62091: 03/10/19: JoeG: Re: Ph.inisheD.
61965: 03/10/15: blisca: tektronix 308 data analyzer
61975: 03/10/15: Rudy Hartmann: Virtex-II Pro ML-300 Evaluation Platform
    61977: 03/10/15: Nicholas C. Weaver: Re: Virtex-II Pro ML-300 Evaluation Platform
61980: 03/10/15: linux user: Running Quartus II on ReadHat Linux 9.0
    61994: 03/10/15: sesh67: Re: Running Quartus II on ReadHat Linux 9.0
    61996: 03/10/16: Marc: Re: Running Quartus II on ReadHat Linux 9.0
    62020: 03/10/16: linux user: Re: Running Quartus II on ReadHat Linux 9.0
        62090: 03/10/18: linux user: Re: Running Quartus II on ReadHat Linux 9.0
61981: 03/10/15: vick: vhdl code
    61995: 03/10/15: Thomas Stanka: Re: vhdl code
61997: 03/10/16: vick: explain the vhdl code
    62008: 03/10/16: Martin Euredjian: Re: explain the vhdl code
    62015: 03/10/16: ram: Re: explain the vhdl code
61999: 03/10/16: Aditya Dua: wireless test board
62002: 03/10/16: ge: wincupl, winsim documentation?
    62019: 03/10/17: Jim Granville: Re: wincupl, winsim documentation?
62003: 03/10/16: Chad Bearden: pci protocol analyzer
    62084: 03/10/18: Petter Gustad: Re: pci protocol analyzer
62010: 03/10/16: Steve Casselman: Looking for Hot 2 Boards
62011: 03/10/16: Chad Bearden: 3rd party pci dma engine
    62059: 03/10/17: Nial Stewart: Re: 3rd party pci dma engine
    62094: 03/10/18: Assaf Sarfati: Re: 3rd party pci dma engine
62012: 03/10/16: Gerardo Sosa: Blocks RAM in HandelC
    62014: 03/10/16: Steve Casselman: Re: Blocks RAM in HandelC
        62024: 03/10/16: Gerardo Sosa: Re: Blocks RAM in HandelC
            62165: 03/10/21: ABloke: Re: Blocks RAM in HandelC
62013: 03/10/16: John Larkin: Configuration Blues
    62078: 03/10/18: Philip Freidin: Re: Configuration Blues
        62088: 03/10/18: John Larkin: Re: Configuration Blues
            62093: 03/10/19: Philip Freidin: Re: Configuration Blues
                62095: 03/10/19: Dave Garnett: Re: Configuration Blues
            62326: 03/10/27: Jon Elson: Re: Configuration Blues
62016: 03/10/16: ram: xilinx System ACE solution
    62096: 03/10/19: Antti Lukats: Re: xilinx System ACE solution
62025: 03/10/16: Eric Smith: Spartan-3 non-ES availability, and misleading pricing info
    62028: 03/10/16: Steven K. Knapp: Re: Spartan-3 non-ES availability, and misleading pricing info
        62030: 03/10/16: Eric Smith: Re: Spartan-3 non-ES availability, and misleading pricing info
            62034: 03/10/16: rickman: Re: Spartan-3 non-ES availability, and misleading pricing info
    62029: 03/10/16: Jake Janovetz: Re: Spartan-3 non-ES availability, and misleading pricing info
        62031: 03/10/17: Nicholas C. Weaver: Re: Spartan-3 non-ES availability, and misleading pricing info
            62032: 03/10/17: Hal Murray: Re: Spartan-3 non-ES availability, and misleading pricing info
                62033: 03/10/17: Jim Granville: Re: Spartan-3 non-ES availability, and misleading pricing info
62035: 03/10/17: Matt North: VFDs
    62044: 03/10/17: Amontec Team, Laurent Gauch: Re: VFDs
        62121: 03/10/20: Matt North: Re: VFDs
    62045: 03/10/17: kryten_droid: Re: VFDs
62037: 03/10/17: arkaitz: MICROBLAZE: executing program from external memory
    62107: 03/10/20: John Williams: Re: MICROBLAZE: executing program from external memory
        62120: 03/10/20: arkaitz: Re: MICROBLAZE: executing program from external memory
            62151: 03/10/21: John Williams: Re: MICROBLAZE: executing program from external memory
62039: 03/10/17: ric: LUT and latch in the FPGA
    62047: 03/10/17: rickman: Re: LUT and latch in the FPGA
    62076: 03/10/17: Martin Euredjian: Re: LUT and latch in the FPGA
    62160: 03/10/20: ric: Re: LUT and latch in the FPGA
        62190: 03/10/21: ric: Re: LUT and latch in the FPGA
    62736: 03/11/06: ric: Re: LUT and latch in the FPGA
62040: 03/10/17: Panic: Xilinx Slice and Altera ...?
    62048: 03/10/17: rickman: Re: Xilinx Slice and Altera ...?
        62049: 03/10/17: Panic: Re: Xilinx Slice and Altera ...?
            62051: 03/10/17: rickman: Re: Xilinx Slice and Altera ...?
                62054: 03/10/17: rickman: Re: Xilinx Slice and Altera ...?
                    62055: 03/10/17: Panic: Re: Xilinx Slice and Altera ...?
                62064: 03/10/17: Jesse Kempa: Re: Xilinx Slice and Altera ...?
                    62069: 03/10/17: rickman: Re: Xilinx Slice and Altera ...?
                    62075: 03/10/18: Panic: Re: Xilinx Slice and Altera ...?
        62061: 03/10/17: Mike Treseler: Re: Xilinx Slice and Altera ...?
            62135: 03/10/20: Ray Andraka: Re: Xilinx Slice and Altera ...?
62041: 03/10/17: Vazquez: Error Message when using process with wait-statement in testbench
    62062: 03/10/17: Mike Treseler: Re: Error Message when using process with wait-statement in testbench
        62123: 03/10/20: Vazquez: Re: Error Message when using process with wait-statement in testbench
62042: 03/10/17: C.Amendola: microblaze data transfer
    62043: 03/10/17: Goran Bilski: Re: microblaze data transfer
62046: 03/10/17: Bart: program a Lattice MACH211
62050: 03/10/17: Martin Ericson: How to get Synplify 7,0 Pro and Xilinx EDK 3,2 work together.
    62058: 03/10/17: Steve Lass: Re: How to get Synplify 7,0 Pro and Xilinx EDK 3,2 work together.
62063: 03/10/17: jakab tanko: ISE5.2 to ISE6.1
    62066: 03/10/17: Georg Acher: Re: ISE5.2 to ISE6.1
        62105: 03/10/19: Uwe Bonnes: Re: ISE5.2 to ISE6.1
    62077: 03/10/17: Jake Janovetz: Re: ISE5.2 to ISE6.1
    62083: 03/10/18: Marc Guardiani: Re: ISE5.2 to ISE6.1
        62112: 03/10/19: Ray Andraka: Re: ISE5.2 to ISE6.1
    62122: 03/10/20: Martin Thompson: Re: ISE5.2 to ISE6.1
    62129: 03/10/20: Nagaraj: Re: ISE5.2 to ISE6.1
        62241: 03/10/22: rickman: Re: ISE5.2 to ISE6.1
            62252: 03/10/22: Tom Seim: Re: ISE5.2 to ISE6.1
                62264: 03/10/23: rickman: Re: ISE5.2 to ISE6.1
    62554: 03/10/31: Bill Hanna: Re: ISE5.2 to ISE6.1
62065: 03/10/17: Pete: Anyone try the Gameboy FPGA system?
62067: 03/10/17: Swarna B: Is it possible to define a preprocessor macro in Xilinx ISE
62073: 03/10/17: Anil Khanna: Signed Multiplication in a Virtex-II Multiplier.
    62080: 03/10/18: Peng Cong: Re: Signed Multiplication in a Virtex-II Multiplier.
        62097: 03/10/19: Anil Khanna: Re: Signed Multiplication in a Virtex-II Multiplier.
            62110: 03/10/20: Peng Cong: Re: Signed Multiplication in a Virtex-II Multiplier.
                62217: 03/10/22: Anil Khanna: Re: Signed Multiplication in a Virtex-II Multiplier.
62085: 03/10/18: rickman: Re: BGA packages in high vibration environments
62086: 03/10/18: Dieter Keldenich: Altium DXP for designing Xilinx FPGA
    62087: 03/10/18: Rene Tschaggelar: Re: Altium DXP for designing Xilinx FPGA
        62130: 03/10/20: jakab tanko: Re: Altium DXP for designing Xilinx FPGA
            62134: 03/10/20: Rene Tschaggelar: Re: Altium DXP for designing Xilinx FPGA
                62148: 03/10/20: Dieter Keldenich: Re: Altium DXP for designing Xilinx FPGA
                    62159: 03/10/21: Simon Peacock: Re: Altium DXP for designing Xilinx FPGA
    62167: 03/10/21: Jake Janovetz: Re: Altium DXP for designing Xilinx FPGA
    62200: 03/10/22: Jon Elson: Re: Altium DXP for designing Xilinx FPGA
62089: 03/10/18: linux user: Running Quartus II on ReadHat Linux 9.0
    62162: 03/10/21: Jan De Ceuster: Re: Running Quartus II on ReadHat Linux 9.0
        62163: 03/10/21: Jan De Ceuster: Re: Running Quartus II on ReadHat Linux 9.0
            62177: 03/10/21: Petter Gustad: Re: Running Quartus II on ReadHat Linux 9.0
                62201: 03/10/22: Jan De Ceuster: Re: Running Quartus II on ReadHat Linux 9.0
                    62218: 03/10/22: Subroto Datta: Re: Running Quartus II on ReadHat Linux 9.0
                        62255: 03/10/23: linux user: Re: Running Quartus II on ReadHat Linux 9.0
                            62272: 03/10/23: Petter Gustad: Re: Running Quartus II on ReadHat Linux 9.0
                                62274: 03/10/23: Petter Gustad: Scripting (was: Re: Running Quartus II on ReadHat Linux 9.0)
                                    62284: 03/10/24: Subroto Datta: Re: Scripting (was: Re: Running Quartus II on ReadHat Linux 9.0)
                                        62299: 03/10/24: Petter Gustad: Re: Scripting (was: Re: Running Quartus II on ReadHat Linux 9.0)
                                62283: 03/10/24: Subroto Datta: Re: Running Quartus II on ReadHat Linux 9.0
                                62305: 03/10/25: H. Peter Anvin: Re: Running Quartus II on ReadHat Linux 9.0
                            62306: 03/10/25: Ben Twijnstra: Re: Running Quartus II on ReadHat Linux 9.0
                    62307: 03/10/25: Ben Twijnstra: Re: Running Quartus II on ReadHat Linux 9.0
                        62317: 03/10/26: Petter Gustad: Re: Running Quartus II on ReadHat Linux 9.0
                            62567: 03/11/01: Ben Twijnstra: Re: Running Quartus II on ReadHat Linux 9.0
                                62634: 03/11/03: Petter Gustad: Re: Running Quartus II on ReadHat Linux 9.0
                    62351: 03/10/27: linux user: Re: Running Quartus II on ReadHat Linux 9.0
62098: 03/10/19: Ben Gerblich: ignoring SPO output on dual port ram
62100: 03/10/19: Valentin Tihomirov: CPU vs. FPGA vs. RAM
    62101: 03/10/19: Nicholas C. Weaver: Re: CPU vs. FPGA vs. RAM
        62114: 03/10/19: Jake Janovetz: Re: CPU vs. FPGA vs. RAM
            62142: 03/10/20: H. Peter Anvin: Re: CPU vs. FPGA vs. RAM
            62149: 03/10/20: Nicholas C. Weaver: Re: CPU vs. FPGA vs. RAM
                62168: 03/10/21: Kolja Sulimma: Re: CPU vs. FPGA vs. RAM
                    62172: 03/10/21: Nicholas C. Weaver: Re: CPU vs. FPGA vs. RAM
                        62185: 03/10/21: H. Peter Anvin: Re: CPU vs. FPGA vs. RAM
    62115: 03/10/19: Jake Janovetz: Re: CPU vs. FPGA vs. RAM
62106: 03/10/20: Panic: Several Quartus II 3.0 questions
    62108: 03/10/20: Subroto Datta: Re: Several Quartus II 3.0 questions
        62125: 03/10/20: Panic: Re: Several Quartus II 3.0 questions
        62194: 03/10/21: Vaughn Betz: Re: Several Quartus II 3.0 questions
            62267: 03/10/23: <remove_spam_rprager@frequentis.com>: Re: Several Quartus II 3.0 questions
62109: 03/10/20: Kload: Virtex CLB
    62111: 03/10/20: Kload: Re: Virtex CLB
        62124: 03/10/20: Christian Haase: Re: Virtex CLB
62113: 03/10/19: Om: USB 2.0 controller using ISP1581 device
    62118: 03/10/19: Antti Lukats: Re: USB 2.0 controller using ISP1581 device
        62158: 03/10/20: Om: Re: USB 2.0 controller using ISP1581 device
            62203: 03/10/22: Antti Lukats: Re: USB 2.0 controller using ISP1581 device
62116: 03/10/20: DGW: Waveform Interpreted
62117: 03/10/19: praveen: Power calculation using Xpower
    62412: 03/10/29: John Blaine: Re: Power calculation using Xpower
        62429: 03/10/29: Austin Lesea: Re: Power calculation using Xpower
        62501: 03/10/30: praveen: Re: Power calculation using Xpower
            63021: 03/11/12: Brendan Cullen: Re: Power calculation using Xpower
                63727: 03/12/02: Brendan Cullen: Re: Power calculation using Xpower
62119: 03/10/19: Thomas Stanka: Re: BGA packages in high vibration environments
    62131: 03/10/20: rickman: Re: BGA packages in high vibration environments
        62141: 03/10/20: H. Peter Anvin: Re: BGA packages in high vibration environments
        62169: 03/10/21: Ron Huizen: Re: BGA packages in high vibration environments
            62922: 03/11/11: David Kinsell: Re: BGA packages in high vibration environments
            62951: 03/11/11: rickman: Re: BGA packages in high vibration environments
62127: 03/10/20: Matt North: Re: Lattice Mach CPLD - Leonardo Spectrum vs. Synplify
62128: 03/10/20: David Brown: Lattice Mach CPLD - Leonardo Spectrum vs. Synplify
    62229: 03/10/22: Andy Peters: Re: Lattice Mach CPLD - Leonardo Spectrum vs. Synplify
        62242: 03/10/23: Allan Herriman: Re: Lattice Mach CPLD - Leonardo Spectrum vs. Synplify
            62271: 03/10/23: Andy Peters: Re: Lattice Mach CPLD - Leonardo Spectrum vs. Synplify
            62280: 03/10/23: Andy Peters: Re: Lattice Mach CPLD - Leonardo Spectrum vs. Synplify
    62257: 03/10/23: David Brown: Re: Lattice Mach CPLD - Leonardo Spectrum vs. Synplify
62136: 03/10/20: Dan Kuechle: Subroutine in VHDL?
    62143: 03/10/20: Mike Treseler: Re: Subroutine in VHDL?
    62146: 03/10/20: Jim Lewis: Re: Subroutine in VHDL?
        62290: 03/10/24: vladimir: Re: Subroutine in VHDL?
62140: 03/10/20: Peter C. Wallace: What is Spartan3 DLL per tap delay
62144: 03/10/21: DGW: BIT files
    62147: 03/10/20: MM: Re: BIT files
62150: 03/10/21: Simone Winkler: please help, modelsim does not simulate
    62152: 03/10/20: Garry Allen: Re: please help, modelsim does not simulate
    62153: 03/10/20: Spam Hater 7: Re: please help, modelsim does not simulate
    62154: 03/10/21: Jay: Re: please help, modelsim does not simulate
    62188: 03/10/21: Martin Euredjian: Re: please help, modelsim does not simulate
62161: 03/10/21: Jaroslaw Guzinski: Altera programming problem
    62178: 03/10/21: ted: Re: Altera programming problem
    62179: 03/10/21: Mike Treseler: Re: Altera programming problem
    62204: 03/10/22: Jaroslaw Guzinski: Re: Altera programming problem
    62285: 03/10/24: Jaroslaw Guzinski: Re: Altera programming problem
62170: 03/10/21: Zak: bitstream compatibility
    62176: 03/10/21: MM: Re: bitstream compatibility
62171: 03/10/21: JackC: Structure of the Embedded Multiplier?
62173: 03/10/21: Carl: 74 logic to CPLD. how easy for a Newbie?
    62174: 03/10/21: Khim Bittle: Re: 74 logic to CPLD. how easy for a Newbie?
    62175: 03/10/21: B. Joshua Rosen: Re: 74 logic to CPLD. how easy for a Newbie?
        62184: 03/10/21: Jim Lewis: Re: 74 logic to CPLD. how easy for a Newbie?
    62180: 03/10/21: Mike Treseler: Re: 74 logic to CPLD. how easy for a Newbie?
    62181: 03/10/21: Kasper Pedersen: Re: 74 logic to CPLD. how easy for a Newbie?
        62235: 03/10/22: Klaus Vestergaard Kragelund: Re: 74 logic to CPLD. how easy for a Newbie?
            62269: 03/10/23: Kasper Pedersen: Re: 74 logic to CPLD. how easy for a Newbie?
    62196: 03/10/22: Allan Herriman: Re: 74 logic to CPLD. how easy for a Newbie?
        62202: 03/10/22: Carl: Re: 74 logic to CPLD. how easy for a Newbie?
            62214: 03/10/22: Allan Herriman: Re: 74 logic to CPLD. how easy for a Newbie?
            62286: 03/10/24: Hal Murray: Re: 74 logic to CPLD. how easy for a Newbie?
    62197: 03/10/22: Jim Granville: Re: 74 logic to CPLD. how easy for a Newbie?
    62199: 03/10/22: Jon Elson: Re: 74 logic to CPLD. how easy for a Newbie?
62182: 03/10/21: Mike Treseler: Sort of Running Quartus II on SuSE Linux 8.1
    62383: 03/10/28: Charles Braquet: Re: Sort of Running Quartus II on SuSE Linux 8.1
        62387: 03/10/28: Mike Treseler: Re: Sort of Running Quartus II on SuSE Linux 8.1
            62391: 03/10/28: Mike Treseler: Re: Sort of Running Quartus II on SuSE Linux 8.1-- sp2=fix!
                62404: 03/10/29: Ben Twijnstra: Re: Sort of Running Quartus II on SuSE Linux 8.1-- sp2=fix!
                62421: 03/10/29: Petter Gustad: Re: Sort of Running Quartus II on SuSE Linux 8.1-- sp2=fix!
62186: 03/10/21: Panic: Strange error in Quartus II 3.0
    62191: 03/10/22: Subroto Datta: Re: Strange error in Quartus II 3.0
        62209: 03/10/22: Panic: Re: Strange error in Quartus II 3.0
    62193: 03/10/21: Vaughn Betz: Re: Strange error in Quartus II 3.0
        62210: 03/10/22: Panic: Re: Strange error in Quartus II 3.0
    62230: 03/10/22: Subroto Datta: Re: Strange error in Quartus II 3.0
        62231: 03/10/22: Panic: Re: Strange error in Quartus II 3.0
62187: 03/10/21: Martin Euredjian: Job postings
62189: 03/10/21: Bose: Verilog Encounted Errors
    62192: 03/10/22: Tullio Grassi: Re: Verilog Encounted Errors
62205: 03/10/22: Andreas Holz: Beginners advice for selecting an environment for FPGA design
    62215: 03/10/22: rickman: Re: Beginners advice for selecting an environment for FPGA design
        62362: 03/10/27: Chris Balough: Re: Beginners advice for selecting an environment for FPGA design
            62371: 03/10/28: Martin Thompson: Re: Beginners advice for selecting an environment for FPGA design
    62236: 03/10/22: Martin Euredjian: Re: Beginners advice for selecting an environment for FPGA design
62206: 03/10/22: RobertP: Block Ram clocks
    62207: 03/10/22: RobertP: Re: Block Ram clocks
        62221: 03/10/22: Barry Brown: Re: Block Ram clocks
62208: 03/10/22: Yana: Virtex II MJA
    62227: 03/10/22: Chen Wei Tseng: Re: Virtex II MJA
62211: 03/10/22: Jon Masters: VHDL Souce Code Beautifiers
    62222: 03/10/22: Nial Stewart: Re: VHDL Souce Code Beautifiers
        62240: 03/10/22: Eric Smith: Re: VHDL Souce Code Beautifiers
62212: 03/10/22: Mancini Stephane: NIOS simulation with modelsim -> strange behaviour
62213: 03/10/22: vladimir: Cool test bench generator for testing some devices which describe by Verilog or VHDL
    62223: 03/10/22: Nial Stewart: Re: Cool test bench generator for testing some devices which describe by Verilog or VHDL
        62261: 03/10/23: vladimir: Re: Cool test bench generator for testing some devices which describe by Verilog or VHDL
    62289: 03/10/24: vladimir: Re: Cool test bench generator for testing some devices which describe by Verilog or VHDL
62216: 03/10/22: ram: PPC boot
62219: 03/10/22: ram: EMC/SDRAM
62220: 03/10/22: Patrick Pangaud: Amplify under Windows server 2003
62224: 03/10/22: WIlfredo Falcón: Timing analysis
    62278: 03/10/23: Anil Khanna: Re: Timing analysis
62233: 03/10/22: Nicholas C. Weaver: The Luddite Needs Reference Books...
    62243: 03/10/22: Ljubisa Bajic: Re: The Luddite Needs Reference Books...
    62244: 03/10/22: Jerry: Re: The Luddite Needs Reference Books...
        62256: 03/10/23: Jonathan Bromley: Re: The Luddite Needs Reference Books...
    62273: 03/10/23: Andy Peters: Re: The Luddite Needs Reference Books...
    62296: 03/10/24: Geoffrey Mortimer: Re: The Luddite Needs Reference Books...
62238: 03/10/22: Dan Kuechle: Any problems with Xilinx 6.1i ISE?
    62239: 03/10/22: MM: Re: Any problems with Xilinx 6.1i ISE?
62245: 03/10/22: Shanon Fernald: I Need to Generate a NTSC Signal - Help!
    62246: 03/10/23: Allan Herriman: Re: I Need to Generate a NTSC Signal - Help!
62247: 03/10/23: Ben Popoola: Altera cyclone circuit board indicator
    62265: 03/10/23: Khim Bittle: Re: Altera cyclone circuit board indicator
        62315: 03/10/26: Ben Popoola: Re: Altera cyclone circuit board indicator
62248: 03/10/23: Kload: Strange Timing Problem
    62249: 03/10/23: Allan Herriman: Re: Strange Timing Problem
        62253: 03/10/23: Kload: Re: Strange Timing Problem
62250: 03/10/22: Anukul: Fatal error while compiling code
62251: 03/10/23: Zak: Anyone with old Foundation?
62254: 03/10/23: Kload: Another strage timing problem
    62260: 03/10/23: John_H: Re: Another strage timing problem
62258: 03/10/23: Stefano Trucco: problem with Xilinx
62259: 03/10/23: Frank: OPB write actions
    62277: 03/10/24: John Williams: Re: OPB write actions
        62367: 03/10/28: Frank: Re: OPB write actions
            62380: 03/10/28: Frank: Re: OPB write actions
62262: 03/10/23: Brannon King: interpreting OFFSET
62263: 03/10/23: Valentin Tihomirov: Are clock and divided clock synchronous?
    62268: 03/10/23: Peter Molesworth: Re: Are clock and divided clock synchronous?
        62275: 03/10/23: Peter Molesworth: Re: Are clock and divided clock synchronous?
            62294: 03/10/24: Valentin Tihomirov: Thank to you and Google
                62295: 03/10/24: MM: Re: Thank to you and Google
                    62300: 03/10/24: Christos: Re: Thank to you and Google
                        62329: 03/10/27: valentin tihomirov: Re: Are clock and divided clock synchronous?
        62287: 03/10/24: Matt North: Re: Are clock and divided clock synchronous?
            62301: 03/10/24: Peter Molesworth: Re: Are clock and divided clock synchronous?
                62302: 03/10/24: Peter Alfke: Re: Are clock and divided clock synchronous?
                    62309: 03/10/26: Jeff Cunningham: Re: Are clock and divided clock synchronous?
                        62343: 03/10/27: Peter Alfke: Re: Are clock and divided clock synchronous?
                            62350: 03/10/27: Bob Perlman: Re: Are clock and divided clock synchronous?
                                62353: 03/10/27: Austin Lesea: Re: Are clock and divided clock synchronous?
                                62389: 03/10/28: John_H: Re: Are clock and divided clock synchronous?
                                    62390: 03/10/28: Austin Lesea: Re: Are clock and divided clock synchronous?
                                        62394: 03/10/28: Peter Alfke: Re: Are clock and divided clock synchronous?
                                            62398: 03/10/29: Jim Granville: Re: Are clock and divided clock synchronous?
                                                62432: 03/10/29: Peter Alfke: Re: Are clock and divided clock synchronous?
                                            62399: 03/10/29: Jeff Cunningham: Re: Are clock and divided clock synchronous?
                                                62403: 03/10/29: Tim: Re: Are clock and divided clock synchronous?
                                                    62427: 03/10/29: Austin Lesea: Re: Are clock and divided clock synchronous?
                                                62425: 03/10/29: Austin Lesea: Re: Are clock and divided clock synchronous?
    62270: 03/10/23: John_H: Re: Are clock and divided clock synchronous?
        62379: 03/10/28: louis lin: Re: Are clock and divided clock synchronous?
62266: 03/10/23: David: Searching for 802.11a phy IP
    62279: 03/10/23: John_H: Re: Searching for 802.11a phy IP
62276: 03/10/23: Tom Hawkins: [ANN] Confluence 0.7.1 Released
62282: 03/10/24: Denis: Xilinx tsi report confusion
62288: 03/10/24: Nitin: Pass transistor logic and multi-valued logic in a FPGA
    62293: 03/10/24: Nial Stewart: Re: Pass transistor logic and multi-valued logic in a FPGA
62291: 03/10/24: vladimir: Useful examles source code Verilog, VHDL, PLI, FLI, Tcl/Tk embedded interpreter (www.hightech-td.com)
62292: 03/10/24: Stefano Trucco: not replaced by logic error
    62773: 03/11/07: Yen: Re: not replaced by logic error
    62801: 03/11/07: Yen: Re: not replaced by logic error
62297: 03/10/24: DIYByteblaster: programming Altera AS Configuration Device without Byteblaster II
    62314: 03/10/26: DIYByteblaster: bugfix
62298: 03/10/24: Henk van Kampen: Picoblaze development tool
    62303: 03/10/25: Amontec Team, Laurent Gauch: Re: Picoblaze development tool
        62319: 03/10/26: Henk van Kampen: Re: Picoblaze development tool
            62384: 03/10/28: Amontec Team, Laurent Gauch: Re: Picoblaze development tool
                62581: 03/11/02: Stephan Buchholz: Re: Picoblaze development tool
                    62621: 03/11/03: Amontec Team, Laurent Gauch: Re: Picoblaze development tool
                        62701: 03/11/05: Alex Gibson: Re: Picoblaze development tool
    62369: 03/10/28: louis lin: Re: Picoblaze development tool
        62381: 03/10/28: Henk van Kampen: Re: Picoblaze development tool
            62402: 03/10/29: louis lin: Re: Picoblaze development tool
                62411: 03/10/29: Henk van Kampen: Re: Picoblaze development tool
    62546: 03/10/31: Pete Dudley: Re: Picoblaze development tool
        62579: 03/11/02: Henk van Kampen: Re: Picoblaze development tool
62304: 03/10/25: David: Searching for 802.11a/g implementations
    62311: 03/10/25: John_H: Re: Searching for 802.11a/g implementations
    62345: 03/10/27: Robert Sefton: Re: Searching for 802.11a/g implementations
        62392: 03/10/28: David: Re: Searching for 802.11a/g implementations
62308: 03/10/25: moe: Modeling hardware in Matlab/Simulink (delay, etc.)?
    62320: 03/10/26: Tom Hawkins: Re: Modeling hardware in Matlab/Simulink (delay, etc.)?
62310: 03/10/26: Pratip Mukherjee: Hex display with Quartus simulation
    62324: 03/10/27: Subroto Datta: Re: Hex display with Quartus simulation
62312: 03/10/26: Niv: Virtex2 DCMs
62313: 03/10/26: Bose: Verilog Program With A Problem
    62316: 03/10/26: Peng Cong: Re: Verilog Program With A Problem
62318: 03/10/26: George: SDRAM Controller
    62323: 03/10/27: David R Brooks: Re: SDRAM Controller
    62327: 03/10/26: Sumit Gupta: Re: SDRAM Controller
    62330: 03/10/27: Martin Thompson: Re: SDRAM Controller
        62341: 03/10/27: George: Re: SDRAM Controller
            62349: 03/10/27: Ben Twijnstra: Re: SDRAM Controller
            62352: 03/10/27: Eric Crabill: Re: SDRAM Controller
    62342: 03/10/27: Andy Peters: Re: SDRAM Controller
62321: 03/10/26: Pablo Bleyer Kocik: Picky WebPACK 6.1
62322: 03/10/26: kris: Does a dont_use statement exist?
62325: 03/10/27: Johan Bernspång: ChipScope problems
62328: 03/10/26: Matt Hardy: Initializing inferred components with Xilinx ISE Foundation 6
    62355: 03/10/28: Allan Herriman: Re: Initializing inferred components with Xilinx ISE Foundation 6
62331: 03/10/27: Manfred Balik: Altera ACEX1K configuration and initialisation
    62332: 03/10/27: Martin Thompson: Re: Altera ACEX1K configuration and initialisation
    62344: 03/10/27: Rene Tschaggelar: Re: Altera ACEX1K configuration and initialisation
    62359: 03/10/28: Marc Guardiani: Re: Altera ACEX1K configuration and initialisation
62333: 03/10/27: Antonis Konstantinos: Memory for FPGA based LCD Driver/Controller
    62372: 03/10/28: Martin Thompson: Re: Memory for FPGA based LCD Driver/Controller
    62435: 03/10/29: John_H: Re: Memory for FPGA based LCD Driver/Controller
62334: 03/10/27: =?ISO-8859-1?Q?Barth=E9l=E9my_von_Haller?=: BoardScope
    62336: 03/10/27: Steve Casselman: Re: BoardScope
        62347: 03/10/27: =?ISO-8859-1?Q?Barth=E9l=E9my__von_Halle?=: Re: BoardScope
        62397: 03/10/29: Jay: Re: BoardScope
62335: 03/10/27: Amstel: Electronic Dice VHDL Program
    62337: 03/10/27: Jonathan Bromley: Re: Electronic Dice VHDL Program
        62338: 03/10/27: Hal Murray: Re: Electronic Dice VHDL Program
            62358: 03/10/27: Amstel: Re: Electronic Dice VHDL Program
                62368: 03/10/28: Jonathan Bromley: Re: Electronic Dice VHDL Program
                    62370: 03/10/28: Tim: Re: Electronic Dice VHDL Program
                        62376: 03/10/28: Jonathan Bromley: Re: Electronic Dice VHDL Program
                62393: 03/10/29: Jim Granville: Re: Electronic Dice VHDL Program
62339: 03/10/27: Antonio: Question about post-PAR simulation
62340: 03/10/27: Tom Derham: Input pins that are driven but not used
    62365: 03/10/28: Sam Duncan: Re: Input pins that are driven but not used
62346: 03/10/27: Charles: Re: View the signal in the analog domain ModelSim
62354: 03/10/27: sarah: How to import QuartusII simulation waveform (vwf) and block design file(bdf) to the Word (.doc)
    62360: 03/10/28: Subroto Datta: Re: How to import QuartusII simulation waveform (vwf) and block design file(bdf) to the Word (.doc)
62356: 03/10/28: Pratip Mukherjee: What's a good book on FPGA CPU design?
    62357: 03/10/27: Pete Fraser: Re: What's a good book on FPGA CPU design?
    62388: 03/10/28: Sumit Gupta: Re: What's a good book on FPGA CPU design?
        153637: 12/04/08: tu: Re: What's a good book on FPGA CPU design?
62361: 03/10/28: Loi Tran: Trenz-electronics (spartan2 development board) help?
    62374: 03/10/28: Amontec Team, Laurent Gauch: Re: Trenz-electronics (spartan2 development board) help?
    62382: 03/10/28: Thorsten Trenz: Re: Trenz-electronics (spartan2 development board) help?
62364: 03/10/27: Hendra Gunawan: Static 1 and 0 Hazards
62366: 03/10/28: Ronald Chung: Xilinx JTAG Parallel IV cable and INITIALIZING CHAIN
    62373: 03/10/28: Amontec Team, Laurent Gauch: Re: Xilinx JTAG Parallel IV cable and INITIALIZING CHAIN
        62375: 03/10/28: Amontec Team, Laurent Gauch: Re: Xilinx JTAG Parallel IV cable and INITIALIZING CHAIN
            62385: 03/10/28: Amontec Team, Laurent Gauch: Re: Xilinx JTAG Parallel IV cable and INITIALIZING CHAIN
                62395: 03/10/28: Ronald Chung: Re: Xilinx JTAG Parallel IV cable and INITIALIZING CHAIN
62377: 03/10/28: wosiqiu: How can I lock design with ISE 5.2?
    62386: 03/10/28: Martin Euredjian: Re: How can I lock design with ISE 5.2?
62396: 03/10/28: Evgeni: Virtex-II DCM frequency synthesizer
    62400: 03/10/29: Jay: Re: Virtex-II DCM frequency synthesizer
        62426: 03/10/29: Peter Alfke: Re: Virtex-II DCM frequency synthesizer
    62428: 03/10/29: Austin Lesea: Re: Virtex-II DCM frequency synthesizer
    62441: 03/10/29: Martin Euredjian: Re: Virtex-II DCM frequency synthesizer
62407: 03/10/29: itsme: Xilinx Spartan3: Price
    62410: 03/10/29: Simon Peacock: Re: Xilinx Spartan3: Price
        62423: 03/10/29: Petter Gustad: Re: Xilinx Spartan3: Price
            62434: 03/10/29: Peter Alfke: Re: Xilinx Spartan3: Price
                62439: 03/10/30: Ralph Mason: Re: Xilinx Spartan3: Price
                    62442: 03/10/29: Petter Gustad: Re: Xilinx Spartan3: Price
                    62443: 03/10/29: Austin Lesea: Re: Xilinx Spartan3: Price
                        62456: 03/10/30: Martin Euredjian: Re: Xilinx Spartan3: Price
                            62769: 03/11/07: rickman: Re: Xilinx Spartan3: Price
            62437: 03/10/29: Uwe Bonnes: Re: Xilinx Spartan3: Price
                62438: 03/10/29: Peter Alfke: Re: Xilinx Spartan3: Price
                    62461: 03/10/30: Kolja Sulimma: Re: Xilinx Spartan3: Price
                        62463: 03/10/30: Simon Peacock: Re: Xilinx Spartan3: Price
                        62483: 03/10/30: Peter Alfke: Re: Xilinx Spartan3: Price
62408: 03/10/29: louis lin: MicroBlaze : can I assign Boot BRAM address other than 0x0?
62409: 03/10/29: Nahum Barnea: LogiCORE PCI-X question
    62419: 03/10/29: Brannon King: Re: LogiCORE PCI-X question
    62431: 03/10/29: Eric Crabill: Re: LogiCORE PCI-X question
62413: 03/10/29: Markus Zingg: How to protect fpga based design against cloning?
    62414: 03/10/29: Peter Molesworth: Re: How to protect fpga based design against cloning?
        62415: 03/10/29: Matt North: Re: How to protect fpga based design against cloning?
        62424: 03/10/29: Petter Gustad: Re: How to protect fpga based design against cloning?
    62416: 03/10/29: kryten_droid: Re: How to protect fpga based design against cloning?
        62418: 03/10/29: Markus Zingg: Re: How to protect fpga based design against cloning?
            62422: 03/10/29: Peter Alfke: Re: How to protect fpga based design against cloning?
                62462: 03/10/30: Markus Zingg: Re: How to protect fpga based design against cloning?
                    62484: 03/10/30: Peter Alfke: Re: How to protect fpga based design against cloning?
                        62491: 03/10/30: Erik Widding: Re: How to protect fpga based design against cloning?
                            62505: 03/10/31: Nial Stewart: Re: How to protect fpga based design against cloning?
                                62512: 03/10/31: Austin Lesea: Re: How to protect fpga based design against cloning?
                                    62516: 03/10/31: Glen Herrmannsfeldt: Re: How to protect fpga based design against cloning?
                                        62521: 03/10/31: Austin Lesea: Re: How to protect fpga based design against cloning?
                                            62528: 03/10/31: Glen Herrmannsfeldt: Re: How to protect fpga based design against cloning?
                                    62609: 03/11/03: Nial Stewart: Re: How to protect fpga based design against cloning?
                                62535: 03/10/31: H. Peter Anvin: Re: How to protect fpga based design against cloning?
                                62587: 03/11/02: Erik Widding: Re: How to protect fpga based design against cloning?
                                    62607: 03/11/03: Simon Peacock: Re: How to protect fpga based design against cloning?
                                    62608: 03/11/03: Nial Stewart: Re: How to protect fpga based design against cloning?
                62476: 03/10/30: Lorenzo Lutti: Re: How to protect fpga based design against cloning?
                    62488: 03/10/30: Peter Alfke: Re: How to protect fpga based design against cloning?
                        62557: 03/11/01: Lorenzo Lutti: Re: How to protect fpga based design against cloning?
                            62559: 03/11/01: Nicholas C. Weaver: Re: How to protect fpga based design against cloning?
                                62586: 03/11/02: Lorenzo Lutti: Re: How to protect fpga based design against cloning?
                                    62599: 03/11/03: Nicholas C. Weaver: Re: How to protect fpga based design against cloning?
            62446: 03/10/30: David R Brooks: Re: How to protect fpga based design against cloning?
                62448: 03/10/30: Hal Murray: Re: How to protect fpga based design against cloning?
                    62450: 03/10/30: kryten_droid: Re: How to protect fpga based design against cloning?
                    62477: 03/10/30: Brad Eckert: Re: How to protect fpga based design against cloning?
                        62504: 03/10/31: Uwe Bonnes: Re: How to protect fpga based design against cloning?
    62420: 03/10/29: Nicholas C. Weaver: Re: How to protect fpga based design against cloning?
    62440: 03/10/29: Martin Euredjian: Re: How to protect fpga based design against cloning?
        62444: 03/10/29: Glen Herrmannsfeldt: Re: How to protect fpga based design against cloning?
            62747: 03/11/06: Arnaud Dion: Re: How to protect fpga based design against cloning?
    62445: 03/10/29: Khim Bittle: Re: How to protect fpga based design against cloning?
        62447: 03/10/29: Peter Alfke: Re: How to protect fpga based design against cloning?
            62458: 03/10/30: Glen Herrmannsfeldt: Re: How to protect fpga based design against cloning?
            62492: 03/10/30: Nicholas C. Weaver: Re: How to protect fpga based design against cloning?
                62498: 03/10/31: Glen Herrmannsfeldt: Re: How to protect fpga based design against cloning?
                    62526: 03/10/31: Nicholas C. Weaver: Re: How to protect fpga based design against cloning?
                        62529: 03/10/31: Glen Herrmannsfeldt: Re: How to protect fpga based design against cloning?
        62454: 03/10/29: Jake Janovetz: Re: How to protect fpga based design against cloning?
62417: 03/10/29: Robert Baumgartner: Xilinx PPC405 DCR Interface
62430: 03/10/29: Mike: Reconfigurable Computing Pointers?
62433: 03/10/29: Sriram: Fatal Error obtained while translating in xilinx ISE 5.2
62436: 03/10/29: Austin Lesea: Electronic News Article on 90 nm soft error FUD
    62533: 03/10/31: Joe Hass: Re: Electronic News Article on 90 nm soft error FUD
        62536: 03/10/31: Peter Alfke: Re: Electronic News Article on 90 nm soft error FUD
        62537: 03/10/31: Austin Lesea: Re: Electronic News Article on 90 nm soft error FUD
    62540: 03/10/31: Symon: Re: Electronic News Article on 90 nm soft error FUD
        62625: 03/11/03: Austin Lesea: Re: Electronic News Article on 90 nm soft error FUD
62449: 03/10/29: Dave: using extra eeprom space
    63070: 03/11/13: Jon Elson: Re: using extra eeprom space
62451: 03/10/29: ram: Questions that question????
    62452: 03/10/30: Martin Euredjian: Re: Questions that question????
62453: 03/10/29: Atif: DDFS technique problem in generating a few clocks
    62493: 03/10/30: Peter Alfke: Re: DDFS technique problem in generating a few clocks
        63641: 03/11/26: praveen: DDFS technique problem in generating a few clocks
            63642: 03/11/27: Allan Herriman: Re: DDFS technique problem in generating a few clocks
62455: 03/10/29: Mike Silva: Some FPGA questions
    62457: 03/10/30: Martin Euredjian: Re: Some FPGA questions
        62459: 03/10/30: Matt North: Re: Some FPGA questions
            62565: 03/11/01: Martin Euredjian: Re: Some FPGA questions
        62469: 03/10/30: Brian Drummond: Re: Some FPGA questions
    62460: 03/10/30: Nial Stewart: Re: Some FPGA questions
    62480: 03/10/30: Martin Schoeberl: Re: Some FPGA questions
    62490: 03/10/30: Mike Treseler: Re: Some FPGA questions
    62561: 03/11/01: Mike Silva: Re: Some FPGA questions
        62572: 03/11/02: Marc Randolph: Re: Some FPGA questions
            62624: 03/11/03: Thomas Stanka: Re: Some FPGA questions
        62622: 03/11/03: Steve: Re: Some FPGA questions
            62637: 03/11/03: Mike Silva: Re: Some FPGA questions
62465: 03/10/30: Pratip Mukherjee: PicoBlaze for Altera (ACEX1K)?
    62472: 03/10/30: Martin Thompson: Re: PicoBlaze for Altera (ACEX1K)?
    62475: 03/10/30: Petter Gustad: Re: PicoBlaze for Altera (ACEX1K)?
62466: 03/10/30: Sean Durkin: VirtexII-Pro: Full Readback via ICAP/SelectMAP
62467: 03/10/30: Sven: Accessing Ports of a "User to Interface Logic" on a Altera Nios
62468: 03/10/30: Vazquez: Hit Logic
    62474: 03/10/30: Jonathan Bromley: Re: Hit Logic
62470: 03/10/30: jean-francois hasson: CLKFX problem with a Virtex II
    62479: 03/10/30: Austin Lesea: Re: CLKFX problem with a Virtex II
62471: 03/10/30: Valentin Tihomirov: simulation stops preliminarily
    62487: 03/10/30: Jonathan Bromley: Re: simulation stops preliminarily
        62560: 03/11/01: Jim Lewis: Re: simulation stops preliminarily
62473: 03/10/30: RobertP: TAP controller state vs PROG pin
    62481: 03/10/30: Chen Wei Tseng: Re: TAP controller state vs PROG pin
62478: 03/10/30: Morten Leikvoll: comparison of FPGA tools?
62482: 03/10/30: Bose: Xilinx XC95108 Chip
    62485: 03/10/30: Hal Murray: Re: Xilinx XC95108 Chip
    62486: 03/10/30: Steve Casselman: Re: Xilinx XC95108 Chip
    62496: 03/10/30: MM: Re: Xilinx XC95108 Chip
        62499: 03/10/30: Bose: Re: Xilinx XC95108 Chip
            62502: 03/10/31: Hal Murray: Re: Xilinx XC95108 Chip
            62531: 03/10/31: Anil Khanna: Re: Xilinx XC95108 Chip
62489: 03/10/30: Dave Vanden Bout: ANNC: WebPACK 6.1 tutorials
    62494: 03/10/30: Glen Herrmannsfeldt: Re: WebPACK 6.1 tutorials
        62497: 03/10/30: Dave Vanden Bout: Re: WebPACK 6.1 tutorials
            62500: 03/10/31: Glen Herrmannsfeldt: Re: WebPACK 6.1 tutorials
62495: 03/10/31: Kload: TNM on Tristate buffers
62503: 03/10/31: Christopher Fairbairn: Wishbone interface, FPGA newbie and advice
    62520: 03/10/31: Andras Tantos: Re: Wishbone interface, FPGA newbie and advice
        62547: 03/11/01: Christopher Fairbairn: Re: Wishbone interface, FPGA newbie and advice
            62558: 03/11/01: Andras Tantos: Re: Wishbone interface, FPGA newbie and advice
    62522: 03/10/31: Mike Treseler: Re: Wishbone interface, FPGA newbie and advice
        62543: 03/11/01: Christopher Fairbairn: Re: Wishbone interface, FPGA newbie and advice
62507: 03/10/31: Vazquez: Address Mapping in 4K RAM Blocks in Altera Cyclone Devices
    62509: 03/10/31: Subroto Datta: Re: Address Mapping in 4K RAM Blocks in Altera Cyclone Devices
62510: 03/10/31: Andrew Steer: Minimalist RS232 on Cyclone
    62511: 03/10/31: Valentin Tihomirov: Re: Minimalist RS232 on Cyclone
    62519: 03/10/31: Hal Murray: Re: Minimalist RS232 on Cyclone
    62574: 03/11/02: Jean Nicolle: Re: Minimalist RS232 on Cyclone
    62595: 03/11/03: Jim Granville: Re: Minimalist RS232 on Cyclone
62513: 03/10/31: Preben Mikael Bohn: Essential hazards in CPLD's?
    62514: 03/10/31: Mike Treseler: Re: Essential hazards in CPLD's?
    62515: 03/10/31: Peter Alfke: Re: Essential hazards in CPLD's?
        62525: 03/10/31: Preben Mikael Bohn: Re: Essential hazards in CPLD's?
62517: 03/10/31: Josan: Microblaze & ucLinux for XSV800
    62590: 03/11/03: John Williams: Re: Microblaze & ucLinux for XSV800
62518: 03/10/31: kryten_droid: Are there more I/O pins than I/O blocks?
    62523: 03/10/31: Andras Tantos: Re: Are there more I/O pins than I/O blocks?
    62568: 03/11/02: Simon Peacock: Re: Are there more I/O pins than I/O blocks?
        62593: 03/11/03: kryten_droid: Re: Are there more I/O pins than I/O blocks?
            62604: 03/11/03: Simon Peacock: Re: Are there more I/O pins than I/O blocks?
62524: 03/10/31: Ed J: Floating Point support
    62530: 03/10/31: Glen Herrmannsfeldt: Re: Floating Point support
        62532: 03/10/31: Peter Alfke: Re: Floating Point support
        62534: 03/10/31: Ed J: Re: Floating Point support
    62553: 03/10/31: john jakson: Re: Floating Point support
    63105: 03/11/14: Jon Elson: Re: Floating Point support
62527: 03/10/31: bob: data recorder examples?
    62555: 03/11/01: ram: Re: data recorder examples?
62538: 03/10/31: Kevin Neilson: Shannon Entropy for Black Holes
    62539: 03/10/31: Pete Fraser: Re: Shannon Entropy for Black Holes
    62541: 03/10/31: Mike Treseler: Re: Shannon Entropy for Black Holes
        62551: 03/10/31: Jerry Avins: OT Shannon Entropy for Black Holes
        62610: 03/11/03: Ian Okey: Re: Shannon Entropy for Black Holes
            62628: 03/11/03: Luiz Carlos: Re: Shannon Entropy for Black Holes
            62641: 03/11/03: Symon: Re: Shannon Entropy for Black Holes
    62542: 03/10/31: Austin Lesea: Re: Shannon Entropy for Black Holes
        62544: 03/10/31: Clay S. Turner: Re: Shannon Entropy for Black Holes
            62552: 03/11/01: Eric Jacobsen: Re: Shannon Entropy for Black Holes
        62632: 03/11/03: James Calivar: Re: Shannon Entropy for Black Holes
    62575: 03/11/02: santosh nath: Re: Shannon Entropy for Black Holes
        62638: 03/11/03: Tom Loredo: Re: Shannon Entropy for Black Holes
    62613: 03/11/03: John Smith: Re: Shannon Entropy for Black Holes
        62631: 03/11/03: Austin Lesea: Re: Shannon Entropy for Black Holes
        62635: 03/11/03: Jerry Avins: Re: Shannon Entropy for Black Holes
            62663: 03/11/04: John Smith: Re: Shannon Entropy for Black Holes
                62668: 03/11/04: Austin Lesea: Re: Shannon Entropy for Black Holes
                    62771: 03/11/07: rickman: Re: Shannon Entropy for Black Holes
                        62775: 03/11/07: John Smith: Re: Shannon Entropy for Black Holes
                        62776: 03/11/07: Uwe Bonnes: Re: Shannon Entropy for Black Holes
                        62790: 03/11/07: Jerry Avins: Re: Shannon Entropy for Black Holes
    62636: 03/11/03: daica nguyen: Re: Shannon Entropy for Black Holes


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search