Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 5475

Article: 5475
Subject: Altera FLEX10K debug Probing
From: Mike Rumsey <mmr@techprt.co.uk>
Date: 19 Feb 1997 01:36:15 -0800
Links: << >>  << T >>  << A >>

I have been looking at FPGA choices for a reconfigurable prototyping
board. We expect to be working with top of the range FPGAs - typically
40K FPGA gates. At this size design debugging will be tough and we
want to be able to probe inside the FPGAs with a logic analyser to
track down problems. With Xilinx and Actel there are mechanisms for
interactively routing an internal node out to a spare IO pin - it's
effectively an incremental update to the layout. With Altera it
appears that you would have to change your VHDL and do a complete
new layout to add a debug probe.

Does anyone have experience with debug probing in Altera Flex8K/10K?
Maybe there's a work-around or 3rd party utility? I've tried Altera's
hot line but got no joy.

-- 
Mike Rumsey
The Technology Partnership +44-1763-262626 ext 2461
Article: 5476
Subject: Re: Xilinx or Altera?
From: CoxJA@augustsl.demon.co.uk (Julian Cox)
Date: Wed, 19 Feb 1997 10:36:38 GMT
Links: << >>  << T >>  << A >>
"Jan Humme" <humme@euronet.nl> wrote:

<snip>

>We love Lattice; we have used them in several designs. One is a
>motherboard-like design, that even has 10 Lattices on it (1016, 1032, 2032
>etc) in a chain!
>
>But Lattice is just another part of the market; now we are looking at
>FPGA's, so the question remains: Xilinx or Altera??
>
>
Jan,

I'll apologise in advance for the length of this, I do tend to waffle.

Both of these manufacturers have products capable of serving your
project.  I'm sure that if time & money allowed you could build two
prototypes of your PCI design using both X & A and get similar (or at
least satisfactory) performance from them both.  So technically, it
doesn't matter which path you take, either one will lead to a product
which makes your boss smile.

There are two things that could turn that smile into a really cheesy
grin tho.  First, the price of the part and second, the time to
market.

The best way to answer both of these questions is to try & get hold of
a demo kit.
That may sound a bit strange but here's my reasoning.

If you play around with both kits for a month or so you will get a
very good feel for how the manufacturers gate counts compare for your
type of design.  Rest assured, they will not be equil.  You should end
up with a vague figure in mind such as 1 Altera gate = 1.2 Xilinx
gates.  I just plucked those numbers out of thin air so please don't
think of them as at all meaningful.  For example, I was looking at
converting a 4K gate design from Actel to Altera, I found that, in my
application, 1 Actel gate is approx 1.8 Altera gates so my 4K Actel
goes into an 8K Altera.  That looks bad on Altera till you consider
that their 8K part is half the price of the Actel 4K.  I don't have a
similar figure for Xilinx unfortunately (Lets just say I'm not
impresssed with the Xilinx UK reps, Email me if you want the gory
details)
Armed with this experience you can make an educated estimate of the
part you would need from each manufacturere and therefore find out the
cost.  Don't just ask for the cost today tho, get the price of the
same part over the past year and pester your rep for the estimated
future pricing.  I dunno about Xilinx but Altera work out in advance
how much they are going to cut their prices by and they do stick to
those plans.

The most important reason to get hold of demo kit to is to try it for
yourself.  They are worlds apart.  The Altera stuff is very
integrated, Windows oriented and is a breeze to pick up.  The Xilinx
software is much more command line driven  but gives you a lot more
low level toys to tinker with.  You can control so many aspects of the
layout that you can almost take all of the decision making away from
the router.  You may find you love one & hate the other.
You can also use this time to vet the technical support available.
This was the factor which settled my decision.  I doesn't matter how
good the software is, you will need help at some point and you just
can't tolerate having to wait a month for every reply.  I can't begin
to guess what the support is like over there, what I found in the UK
is very different to what is descibed in this group for the USA.  I
cannot recommend strongly enough that you find out for yourself before
you commit.

Armed with this experience you shouldn't go wrong.

I know I havn't answered your question & I'm sorry for that but I
really feel if you jump into either camp without trying them both for
yourself you will be making a huge mistake.

Good luck with your design

Julian Cox

Article: 5477
Subject: Re: Xilinx or Altera?
From: timolmst@cyberramp.net
Date: Wed, 19 Feb 1997 13:45:38 GMT
Links: << >>  << T >>  << A >>
"Jan Humme" <humme@euronet.nl> wrote:

>I realize that this must be that single stupid question that is always
>asked, but:

>=> is there a good comparison (FAQ?) for Xilinx and Altera (strong and weak
>points) anywhere on the Web? 

>We are trying to make a choice between these two products. Currently, we
>are implementing a PCI-based product, but of course other applications
>should also be considered.

>---
>Jan Humme

Why are we always trying to chose between Xilinx and Altera? I have
been having VERY GOOD results with Lattice. Their Syanrio development
system is price well ($495) and their tech support is DAMN good.

Article: 5478
Subject: Re: What is the different between FPGA and CPLD?
From: Alfred Fuchs <alfred.fuchs@siemens.at>
Date: Wed, 19 Feb 1997 09:27:26 -0600
Links: << >>  << T >>  << A >>
Ray Andraka wrote:
> 
> man cheng wrote:
> > Dear everybody,
> >
> > Can any expect here tell me what is the different between FPGA and CPLD?
> > ...

> Simplistically speaking, the difference is in the architecture.  An FPGA
> is an array of relatively simple configurable logic cells. The smallest
> arrays are about 8 x 8 cell matrices. Each cell in the FPGA typically
> has a small number of inputs (2 to 9 depending on the device) and
> somewhat limited routing between cells.  Each cell has typically has at
> least one flip flop (there is an exception that uses a combination of
> two adjacent cells to construct a flip-flop).  The resulting
> architecture is rich in registers, but is not well suited for wide high
> speed combinatorial stuff.  In contrast, the CPLD structure is generally
> more like that of a traditional PAL, where each "macrocell" consists of
> a register and some form of an and-or logic array.  The number of
> macro-cells is small compared to the number of logic cells in an FPGA,
> but the cells can handle fairly wide combinatorial functions with no
> speed penalty.  The macrocells are usually interconnected with some form
> of global routing resource.
> 
> Hope the short answer is helpful.
> 
> -Ray Andraka, P.E.

In my feeling this is not the whole story.
Naming programmable devices is a mess.
I think the basic reason is, that every marketing manager is urged to
invent a new name in order not to be a "me-too" runner.
Unfortunately all the smart engineers do not stand up and insist on
THEIR classification as they always did in other fields.
1. Maybe the FPGA/CPLD war boils down to the fact, that p-term logic
structure does not make sense with fine-grain interconnect. Altera, too,
called their FLEX series FPGAs in early databooks - and found itself
seen as a me-too vendor.
I proposed: PGA vs PLA as two childs of PLDs. You can add an F to every
term if you want. A few people do use FPLD.
2. When are PLDs NC (not complex) or S (simple)? There are various
definitions (Typical for engineering??). I proposed: If there is only
global interconnect, then it's small. Because you will surely never see
a big chip with global interconnect.
3. Presently we can re-view the same story with programmable analog
devices (EPAC, FPAA, ...) and programmable interconect devices (FPIC,
FPID, ...).
4. There is an emerging overall term FPD, which means Field Programmable
Devices, but the abbreviation is associated with  Flat Panel Display as
well.
5. Another topic: Are FPGAs/CPLDs ASICs? From their usage pattern many
people tend to say yes. But on the other hand there is nothing
"Application Specific" with them and in fact this is one of their
essential advantages. Actually they are the successors of standard logic
components. Even Xilinx says that.
The rivalry between the FPGA and CPLD camps is nothing but a bid for
domination of engineers minds. Don't let marketing nonsens dominate you! 
Instead choose a clear language, a simple formula.
Obviously the professors in the universities do not understand this game
and - like poetry.
I assume that all of you agree. :-)

Best regards 
Alfred Fuchs
Siemens Austria, PSE EZE TNT
-- 
My little grey cells speak for themselves, not for my company.
But have a look at http://www.siemens.at or .de or .com
mailto:alfred.fuchs@siemens.at; Phone: 43/1/1707-34113
Article: 5479
Subject: Re: [Q] Xilinx FPGA Resources
From: shand@src.dec.com (Mark Shand)
Date: 19 Feb 1997 17:38:50 GMT
Links: << >>  << T >>  << A >>
On Sat, 18 Jan 1997 19:01:36 -0500, David Charles Hirschfield
<dch+@andrew.cmu.edu> wrote:
>Does anyone have any information regarding the technical details of
>programming Xilinx boards?

You can download the source of the code we use to download and readback
XC4000 and XC4000E devices as part of the PCI Pamette software kit.
(http://www.research.digital.com/SRC/pamette).  It can probably
be adapted to your needs.
Article: 5480
Subject: Re: PCI Prototyping board with a XC4013E or XC4013EX
From: shand@src.dec.com (Mark Shand)
Date: 19 Feb 1997 18:13:18 GMT
Links: << >>  << T >>  << A >>
Jim McManus wrote:
>I'm not aware of anyone who is 
>marketing a PCI prototyping board with a Xilinx chip as the 
>interface.

You can see a photo of a PCI prototyping board with a Xilinx chip as the
interface at http://www.research.digital.com/SRC/pamette.  This board
has been available since September 1996.

You can see a photo of a more recnt board that Xilinx (the company
Jim works for) *must* know about at http://www.vcc.com/products/pci6200.html.

FYI: although PCI Pamette may have more FPGAs than Peeters (author of
the post that started this thread) wants, it does have the neat feature
of being able to reconfigure the PCI interface in system without a
reboot.

Mark Shand.
Article: 5481
Subject: Re: Xilinx or Altera?
From: "Jan Humme" <humme@euronet.nl>
Date: 19 Feb 1997 19:04:30 GMT
Links: << >>  << T >>  << A >>


timolmst@cyberramp.net wrote in article
<5eevcv$5t1$1@newshost.cyberramp.net>...
> "Jan Humme" <humme@euronet.nl> wrote:
> 
> >I realize that this must be that single stupid question that is always
> >asked, but:
> 
> >=> is there a good comparison (FAQ?) for Xilinx and Altera (strong and
weak
> >points) anywhere on the Web? 
> 
> >We are trying to make a choice between these two products. Currently, we
> >are implementing a PCI-based product, but of course other applications
> >should also be considered.
> 
> >---
> >Jan Humme
> 
> Why are we always trying to chose between Xilinx and Altera? I have
> been having VERY GOOD results with Lattice. Their Syanrio development
> system is price well ($495) and their tech support is DAMN good.
> 
> 

So do we, as I have pointed out before. We love Lattice.

But again, it's an entirely different market.

Jan.
Article: 5482
Subject: Re: Installation Problem with ACTEL Designer 3.1 on SunSolaris
From: paul@xanadu.physics.indiana.edu (Paul Smith)
Date: 19 Feb 1997 19:19:36 GMT
Links: << >>  << T >>  << A >>
In article <5e14g7$11u4@info4.rus.uni-stuttgart.de>,
Michael Koch <koch@mikro.uni-stuttgart.de> wrote:
>
>networked environment for ACTEL FPGAs on Sun-Workstations running Solaris. 
>It seems to me, installation is a nightmare.  
>
>Copying data from CD-ROM is quite a nice job, but starting the 
>license server is terrible.
>
>
I'm running the designer software on a Sun running SunOS, single station.

Every time I upgrade the Actel software it's a real headache.  Actel tech
support never seems to understand anything about the UNIX platforms, they
always think everyone is on a PC.

Actel does have a web site at www.actel.com with some tech notes, but
probably not with the answer to your problem.  I always wind up complaining
to the local rep until someone knowledgeable calls me.  The tech support
hotline people usually have no idea what's going on.


The Actel parts are great, the software is OK once you get it working, but
Actel tech support is really bad, especially when it comes to software.

Paul Smith
Indiana University Physics
ptsmith@indiana.edu

Article: 5483
Subject: Q: Search Engines for Electronic Parts?
From: Lance Gin <c43lyg@dso.hac.com>
Date: Wed, 19 Feb 1997 12:06:36 -0800
Links: << >>  << T >>  << A >>
I'm wondering if there are any internet search engines out there
exclusively for looking up commercial electronic parts?

I envision an engine that would allow searching by part numbers,
manufacturers, or functional catagory. Manufacturer and datasheet
info would be nice.

The only engines I've seen so far are the ones proprietary to
distributors like Hamilton/Avnet and Marshall. I believe for-fee
databases are also available (eg. on CDROM) but I'm not sure who
these companies are.

Thanks in advance,

--

Lance Gin                            "Off the keyboard, over the bridge
Delco Systems-GM Hughes Electronics   through the gateway,
C43LYG@dso.hac.com                    nothing but NET!"
Article: 5484
Subject: Re: What kind of functions mostly implemented using FPGAs?
From: peter@xilinx.com (Peter Alfke)
Date: Wed, 19 Feb 1997 14:40:02 -0700
Links: << >>  << T >>  << A >>
In article <E5r0v0.2J4@nonexistent.com>, kardos@mail.matav.hu wrote:

here are some of
> my problems:
>    - synchronization of large networks (eg. clock distribution)
>    - handling of asynchronous signals
>    - metastability (mostly because of the asynchronous data lines)
> 
If you are interested in Xilinx FPGAs, they have a clock distribution
network that guarantees less skew than the min clock-to-Q delay of any
internal element. That means, there are no hold-time problems or other
race conditions.
( I prefer phrasing it this way, rather than saying "there is no clock skew".)

Regarding metastability, look at pages 13-41 through 43 of the Sept 96
Xilinx Data Book. They show excellent resolution of any unavoidable
metastable events, especially by the XC4000E-3 devices.

Asynchronous design methodologies are dangerous in any type of technology.
It is best to get rid of those habits before they cause serious harm.

If you have specific questions, just e-mail me ( peter@xilinx.com )

Peter Alfke, Xilinx Applications
Article: 5485
Subject: Re: Duplicate PLD?
From: gah@u.washington.edu (G. Herrmannsfeldt)
Date: 19 Feb 1997 22:00:27 GMT
Links: << >>  << T >>  << A >>
It seems that for something the size of a 16L8 it wouldn't take so long
to cycle through all the inputs, as someone said, assuming no feedback
and no outputs as intputs. 

But if there is feedback and/or outputs as inputs, maybe in multiple
cycles you would be able to learn the values.  If an output pin is
not driven, then you might guess it is an input.  If you have the
device, or, better, the schematic for the device, you can see which are
inputs.  

I wonder, if anyone has actually done this.  Expecially for a case with
feedback.

Otherwise, there is the hard way of smashing it open and trying to read
the fuse map off the chip.

-- glen
Article: 5486
Subject: Re: Xilinx or Altera?
From: aquantz@ibm.net (Aaron Quantz)
Date: Wed, 19 Feb 1997 22:42:01 GMT
Links: << >>  << T >>  << A >>
peter@xilinx.com (Peter Alfke) wrote:

>In article <01bc1d85$40de5620$a20886c2@jan>, "Jan Humme"
><humme@euronet.nl> wrote:
>
>
>> We believe that both Altera and Xilinx are good products. In order to make
>> a choice for the one that fits us best, I would like to hear more from
>> people that have experiences with one or both.
>> 
snip...
>
>Lastly, I invite every user to form his/her own opinion about the quality
>of technical support and the level of "honesty in marketing" displayed by
>the two companies. I am too close to that issue to be objective, but I
>think it is very important. End of soapbox.
>
>I hope I did not start a flame.
>
>Peter Alfke, Xilinx Applications

Sounds like a sales pitch to me. I used Xilinx and found the tools
cumbersome and ackward. No good connection between ORCAD and the
Xilinx tools. After one of our engineers spent the better part of 4
months jumping between Xilinx and Orcad tech support, we bought the
Altera tools and have had no need to call tech support. We have had no
problems with locking pins, as a matter of fact, I have had no need to
even look at the physical aspects of layout and such, just design and
go! The LPM blocks (similar to XBLOX are FULLY configurable. All in
all I'd have to say the switch from Xilinx has been the best move for
our application. For what its worth. (these opinions are mine and not
the fault of the company).

Regards,
Aaron Quantz
Mgr Software Development, TCS
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
HR Textron                        | Phone: (805) 253-5471
25200 W. Rye Canyon Rd.           | Fax:   (805) 253-5962
Valencia, CA USA 91355-1265       | Email: aquantz@ibm.net
Visit the Textron web site: http://www.textron.com
----------------------------------------------------------------------
Article: 5487
Subject: Re: Xilinx or Altera?
From: s_clubb@netcomuk.co.uk (Stuart Clubb)
Date: Wed, 19 Feb 1997 23:12:56 GMT
Links: << >>  << T >>  << A >>
On 18 Feb 1997 10:19:03 GMT, "Jan Humme" <humme@euronet.nl> wrote:

>Well, you are definitely right. However, as a small company we have to stay
>on the safe (and coward) side. Altera and Xilinx are the 2 leaders in this
>market. If you really think Lucent and Actel are better, please tell me
>what you believe to be their strong points.

All IMHO

Lucent:
Fast, big parts, although Altera 10K100 is biggest I think.
Dual Port Ram v Altera &  faster than Xilinx.
Tristate buffers v Altera - "Tristate emulation" (gates!)
TTL or CMOS levels on a per-pin basis.
Multiple clocks/globals and you can choose which pin.
0.35 micron parts shipping since early 1996. First?
Lucent make their own parts so cut out the middleman.
Routability vs Xilinx don't know on Altera, but may be true.
Peripheral routing improves pin locking.
_Much_ less time penalty for single block 5ip & wider functions v X&A.
All density parts totally pin compatible in same package.
True 3.3V parts which run same speed as 5V parts. Is this unique?
Good power consumption.
Tools run on NT & WIN95 today. (Real slow on W3.11 though!)
Tools are good price (If you've got a front end)

Actel:
ACT3 seems fast. Good PCI capability claimed.
Integrator series look interesting for DPRAM.
More secure design with antifuse?
Don't know Actel as well but they make FPGA's so they got the mention.

>Then, local support (here in the Netherlands) is an important issue. Both
>product lines are very well supported by their respective distributors; we
>have reasons to have faith in both. For Lucent and Actel, I wouldn't even
>know who the distributors are (of course that is probably my own fault).

From the Lucent web page:
http://www.lucent.com/micro/SALES/sales8.html

Eurodis Texim Electronics B.V.
Nijverheidsstraat 16
PO Box 172
NL-7480 AD Haaksbergen
The Netherlands
(31) 5357-33-244 (phone)
(31) 5357-33-240 (FAX)
aark.euron1@pi.net
Aart Kleinendorst

From the Actel page:
http://www.actel.com/sales/address/intlreps.html#Netherlands

     DIODE SPOERLE EINDHOVEN
     De Run 1120
     5503 LA Veldhoven
     NETHERLANDS
     Tel: (31) (40) 54.54.30
     Fax: (31) (40) 53.55.40 

     DIODE SPOERLE UTRECHT
     Coltbaan 17
     3439 NG Nieuwegein
     NETHERLANDS
     Tel: (31) (3402) 91234
     Fax: (31) (3402) 46764
     Alternate Fax: (3402) 35924 

     TRANSFER B.V.
     Auke Vleerstraat 4
     7521 PG Enschede
     NETHERLANDS
     Tel: (31) (53) 433.03.36
     Fax: (31) (53) 434.03.36 

>As far as the software is concerned, we have had excellent demo's from both
>sides.

Well a bad pre-prepared demo wouldn't be encouraging would it!

>We have worked with Xilinx in a project some 4 years ago (!) and loved it
>even then, when the tools were still a lot more primitive than today. Seems
>to be a good reason to pick up on it, right? Besides, one company that
>participated in the same project still uses Xilinx, and they are still very
>enthusiastic.
>
>On the other side, I have been reading a lot of good stories about Altera
>moving into this market, that was once dominated by Xilinx. A few people
>say they like the software better than Xilinx; strangely enough I have not
>read any message in favor of Xilinx software (I did not expect this at all)
>!

Depends what the application is. All vendors have parts that fit
certain requirements better than others. Why not give each vendor a
circuit description and get them to tell you how big, how fast, and
how much the part will cost today. It will also prove the toolchains
by getting them to use them for real! See who gives you the quickest
answer?

I hear a lot of people like MAX Plus, but some don't like the tie-in
and opt for vendor independence with third party schematic and
synthesis tools. Xilinx third party approach, now with Aldec product
is a neat cost reduction idea, and also reduces the barriers to entry
for other vendors, as Aldec is lower cost than Viewlogic.

>We believe that both Altera and Xilinx are good products. In order to make
>a choice for the one that fits us best, I would like to hear more from
>people that have experiences with one or both.

Of course they both have good products, just as Actel, Lucent, and
other vendors do. Each product fits some designs better in some cases
than others.

Stuart
Article: 5488
Subject: Re: Q: Search Engines for Electronic Parts?
From: sandy@storm.ca (Sandy Harris)
Date: Thu, 20 Feb 97 00:48:53 GMT
Links: << >>  << T >>  << A >>
Quoth Lance Gin <c43lyg@dso.hac.com>:

>I'm wondering if there are any internet search engines out there
>exclusively for looking up commercial electronic parts?
>
>I envision an engine that would allow searching by part numbers,
>manufacturers, or functional catagory. Manufacturer and datasheet
>info would be nice.
>
>The only engines I've seen so far are the ones proprietary to
>distributors like Hamilton/Avnet and Marshall. I believe for-fee
>databases are also available (eg. on CDROM) but I'm not sure who
>these companies are.
>
http://www.twinight.org/chipdir
http://www.semi.com.tw
http://www.dynaserve.com/electron/index.htm

=============
Sandy Harris
Article: 5489
Subject: Re: Embedded SRAM in FPGAs
From: szamos@pacifier.com (szamos)
Date: 20 Feb 1997 07:17:31 GMT
Links: << >>  << T >>  << A >>
Ken Krolikoski (kenk@teleport.com) wrote:
: 
: Going forward, Xilinx plans to set forth a new methodology, also
: detailed in the white paper, for measuring the logic density of
: FPGAs. The new density metric is based on logic cells that typically
: consist of a 4-input look-up table and one flip flop. The
: company said this method will make it possible for customers to make
: meaningful comparisons of competing products.

Yeah, sure....  ;-)

: The goal is to end the confusion caused by programmable logic 
: vendors who include on-chip RAM to arrive at inflated gate
: densities. 
: 
: Xilinx said the new XC4062XL device, when measured using logic cells,
                                                     ^^^^^^^^^^^^^^^^^
: is currently the industry's largest FPGA device.

It's really neat that you create some kind of benchmark (probably 
tailored to your architecture) and claim that according to your
benchmark you got the biggest device.....

Only thing I can say that our design did fit (barely) into to 
10k100 (nicely into 3) while it would've required 4 Xilinx FPGA's.
Article: 5490
Subject: Re: Xilinx or Altera?
From: szamos@pacifier.com (szamos)
Date: 20 Feb 1997 07:35:21 GMT
Links: << >>  << T >>  << A >>
Julian Cox (CoxJA@augustsl.demon.co.uk) wrote:
: integrated, Windows oriented and is a breeze to pick up.  The Xilinx
: software is much more command line driven  but gives you a lot more
: low level toys to tinker with.  You can control so many aspects of the
: layout that you can almost take all of the decision making away from
: the router.

Thing is, when you have a project that uses three 10k100 devices, 
just how much low level tinkering can you do?   Not very much.

: You may find you love one & hate the other.
Article: 5491
Subject: Re: Q: Search Engines for Electronic Parts?
From: TADedek <tadedek@flash.net>
Date: Thu, 20 Feb 1997 02:38:11 -0500
Links: << >>  << T >>  << A >>
Access the manufacturer's website.  They usually have an engine to
search their line of parts.  

Sheldon

Just noticed all the spamming to other ng.  You will be lucky to read
this


Lance Gin wrote:
> 
> I'm wondering if there are any internet search engines out there
> exclusively for looking up commercial electronic parts?
> 
> I envision an engine that would allow searching by part numbers,
> manufacturers, or functional catagory. Manufacturer and datasheet
> info would be nice.
> 
> The only engines I've seen so far are the ones proprietary to
> distributors like Hamilton/Avnet and Marshall. I believe for-fee
> databases are also available (eg. on CDROM) but I'm not sure who
> these companies are.
> 
> Thanks in advance,
> 
> --
> 
> Lance Gin                            "Off the keyboard, over the bridge
> Delco Systems-GM Hughes Electronics   through the gateway,
> C43LYG@dso.hac.com                    nothing but NET!"
Article: 5492
Subject: Re: Altera FLEX10K debug Probing
From: szamos@pacifier.com (szamos)
Date: 20 Feb 1997 07:43:47 GMT
Links: << >>  << T >>  << A >>
Mike Rumsey (mmr@techprt.co.uk) wrote:

: Does anyone have experience with debug probing in Altera Flex8K/10K?
: Maybe there's a work-around or 3rd party utility? I've tried Altera's
: hot line but got no joy.

As far as I know you have to explicitely route (bring out) 
those internal nodes/signals to a previously unused I/O pin.   

As for debugging, Max+Plus II generates a very accurate .vo file 
which can be used for simulation/debugging.   
Article: 5493
Subject: 2nd try: What kind of functions mostly implemented using FPGAs?
From: r.m.muench@ieee.org (Robert M. Muench)
Date: Thu, 20 Feb 1997 09:49:57 GMT
Links: << >>  << T >>  << A >>
Hi,

I'm interested in the set of functions which get mostly implemented
using FPGAs? And in any information where are the biggest problems
faced when using FPGAs.

Robert M. Muench
SCRAP EDV-Anlagen GmbH, Karlsruhe, Germany

--> Answer to: r.m.muench+ieee.org <--
--> replace the + with @           <--

PGP-Fingerprint:
08 E9 EE 9F 33 ED 46 11  A5 CD BE FC 9D ED 75 14
Article: 5494
Subject: Re: Search Engines for Electronic Parts?
From: "Steven K. Knapp" <optmagic@ix.netcom.com>
Date: 20 Feb 1997 15:16:51 GMT
Links: << >>  << T >>  << A >>
One electronics parts search engine that I found useful is QuestLink.  The
following is a link to their programmable logic section but you can link to
the main index from there.

http://www.questlink.com/launch.qry?function=L2&id=146000
-- 
Steven Knapp
E-mail:  optmagic@ix.netcom.com
Programmable Logic Jump Station:  http://www.netcom.com/~optmagic

Lance Gin <c43lyg@dso.hac.com> wrote in article
<330B5D4C.1D93@dso.hac.com>...
| I'm wondering if there are any internet search engines out there
| exclusively for looking up commercial electronic parts?
| 
| I envision an engine that would allow searching by part numbers,
| manufacturers, or functional catagory. Manufacturer and datasheet
| info would be nice.
| 
| The only engines I've seen so far are the ones proprietary to
| distributors like Hamilton/Avnet and Marshall. I believe for-fee
| databases are also available (eg. on CDROM) but I'm not sure who
| these companies are.
| 
| Thanks in advance,
| 
| --
| 
| Lance Gin                            "Off the keyboard, over the bridge
| Delco Systems-GM Hughes Electronics   through the gateway,
| C43LYG@dso.hac.com                    nothing but NET!"
| 
Article: 5495
Subject: Re: Xilinx or Altera?
From: "Austin Franklin" <#darkroom@ix.netcom.com#>
Date: 20 Feb 1997 15:48:28 GMT
Links: << >>  << T >>  << A >>
Aaron,

> Sounds like a sales pitch to me.

What Peter said sounded like a pretty fair assessment to me.  If you
disagree with someones assessment, that's fine, but you don't need to be
insulting to someone who is just trying to give information and help
someone who asked for help.

> I used Xilinx and found the tools
> cumbersome and ackward.

I have found them to be quite easy to use.  The interfaces are straight
forward and provide consistent results.  I do not use the GUI, I run the
tools from batch files.  The tool options are clear, concise and well
documented.  Once you understand how to use the tools, they are quite easy
to use.

> No good connection between ORCAD and the
> Xilinx tools. After one of our engineers spent the better part of 4
> months jumping between Xilinx and Orcad tech support,

I have never had a tool related problem that took even close to four months
to solve.  If one of my engineeres took 4 months to solve a simple problem
like going from schematics to netlist, they I'd suggest they try another
field.  I know these tools work, many other people have used them before
me.

All tools have the potential to have some problems, and usually the main
part of the problem is inexperience.  These devices are quite complex, as
are the tools, and to expect you don't have to understand the devices or
the tools and that you can just push a button and the tools do all the work
for you is unrealistic.

I have always been able to find some work around (by my self or with the
help of technical support) for problem in the past.  I have not had the
need to find any workarounds in the past few years with the Xilinx tools,
they have worked just fine now that they are very mature.  There are some
small bugs in some of the auxilary tools (floorplanner) but they are minor
annoyances, certainly nothing that hinders my design process.

> we bought the
> Altera tools and have had no need to call tech support. We have had no
> problems with locking pins, as a matter of fact, I have had no need to
> even look at the physical aspects of layout and such, just design and
> go! The LPM blocks (similar to XBLOX are FULLY configurable. All in
> all I'd have to say the switch from Xilinx has been the best move for
> our application.

Altera does have a good set of tools for simple to medium complexity
designs.  As true with any FPGA, if your design is complex or high speed,
you need to have an intimate understanding of the architecture of the
device, and how the tools work.  The Xilinx tools offer better control of
the design process, and how the resources are utilized.  This aspect is
critical for complex and high speed designs, such as a 33MHz PCI interface.
 An intimate understanding of tools is esential to PCB design, ASIC design
and software design as well.

The Altera design environment is not any industry standard.  They have
their own schematic capture and HDL.  To me, this means the engineer has to
learn 'yet another proprietary schematic capture and HDL' to get the job
done.  You can use some of the industry schematic capture front ends, and
Abel, but, it is more difficult to get them into the Altera design
environment.  But once you have it set up, it't not all that bad.

My experience has been the Xilinx tools are better for designs that are not
deterministic, such as FPGAs.  The Altera tools are better for CPLDs (MAX
7k series) which are deterministic.

Since the topic of this thread was PCI designs, which is both complex and
high speed, I would use the Xilinx tools because they give better contol
over the resource mapping, placement and utilization.  Also, the internal
tri state bus of the Xilinx is excellent for PCI designs.  For a burst
master implementation, it is essential to have a CE in the IOB, and the
Xilinx does.  You can't meet PCI spec without it.  I don't know if the
Altera does or doesn't.

Austin Franklin
..darkroom@ix.netcom.com.

Article: 5496
Subject: Re: Xilinx or Altera?
From: mma@rt66.com (Mark Aaldering)
Date: Thu, 20 Feb 1997 16:05:22 GMT
Links: << >>  << T >>  << A >>
On Tue, 18 Feb 1997 12:11:23 -0700, Aliens from the 3rd dimension made
peter@xilinx.com (Peter Alfke) write:

>The first big choice is between CPLDs and FPGAs.
>CPLDs are smaller and simpler. Their software is easier to understand, and
>compile times are shorter. But power consumption is relatively high

Stuff Omitted here... 

> Xilinx now offers the very attractive XC9500
>family which is in-system programmable, and can cope with design changes
>while maintaining a given pin assignment ( pc-board layout ). This is
>called "Pin-locking capability", an important, but often overlooked
>necessity.


Power consumption need not be relatively high. CoolRunner CPLDs from
us (Philips) consumer orders of magnitude lower power than any CPLD in
the market while simultaneously offering 6nS Tpds and pin-locking
ability that is unparalleled.

Mark Aaldering, Philips Apps Manager (www.coolpld.com)


Mark Aaldering
mma@rt66.com
Mark.Aaldering@abq.sc.philips.com
Article: 5497
Subject: State Diagram Tools
From: "Kevin D. Drucker" <kdrucker@hns.com>
Date: Thu, 20 Feb 1997 17:03:07 -0500
Links: << >>  << T >>  << A >>
Sorry about the psuedo-spam...

I am looking for a tool to assist me in documenting state machines. 
Something similar to Rational Rose (which is a C++ tool), but
specifically geard towards digital logic design.  It would be nice if it
allowed you to show the diagrams either as a mealy or moore type
machine.

Any one know of such a tool?  If there is a shareware program out there
that'd be great.  Windoze 95 or HP-UX...
-- 
-Kevin

Kevin D. Drucker        |  email: kdrucker@hns.com
Member Technical Staff, |  work:  (301) 601-4167  
Hardware Development    |  fax:   (301) 601-4275  
Hughes Network Systems  |
Article: 5498
Subject: Reverse Engineering FPGAs
From: harding@pelican.cs.ucla.edu (John A. Harding)
Date: 20 Feb 1997 14:11:17 -0800
Links: << >>  << T >>  << A >>

Hello-
 
Are there any ways to prevent SRAM FPGAs from being
reversed engineered. Or copied. I have heard about
the approach using a battery and loading the config.
in the Factory, but what about upgrades, and reconfigurable
systems.
 
It seems that many applications cannot use FPGAs because
of this reason.
 
Thanks,
 
John

Article: 5499
Subject: Re: Xilinx or Altera?
From: pss1@hopper.unh.edu (Paul S Secinaro)
Date: 20 Feb 1997 23:14:34 GMT
Links: << >>  << T >>  << A >>
"Austin Franklin" <#darkroom@ix.netcom.com#> writes:

>Since the topic of this thread was PCI designs, which is both complex and
>high speed, I would use the Xilinx tools because they give better contol
>over the resource mapping, placement and utilization.  Also, the internal
>tri state bus of the Xilinx is excellent for PCI designs.  For a burst
>master implementation, it is essential to have a CE in the IOB, and the
>Xilinx does.  You can't meet PCI spec without it.  I don't know if the
>Altera does or doesn't.

Are you kidding?  The Altera FLEX 8K doesn't even have a CE in the
*CLB* (or logic cell in Altera jargain), let alone the IOB.  The
problem I've had with the FLEX architecture is that it has
fine-grained, simple logic cells, but doesn't seem to have the routing
resources to deal with that (if your LC's are simple, you will need to
use more of them, which means you'll need more interconnect
resources).  They are reasonably fast and cheap, though.  FLEX 10K is
a bit better to be better, but I haven't had the occasion to use any.
Also, the Altera IOB's only have one flop, so you can't have a
registered bidirectional I/O.  This dropped them from consideration in
the last design I did (ended up using an Actel ACT3).

-Paul

-- 
Paul Secinaro (pss1@christa.unh.edu)
Synthetic Vision and Pattern Analysis Laboratory
UNH Dept. of Electrical and Computer Engineering


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search