Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 10725

Article: 10725
Subject: Re: Fastest and biggest FPGA fast and big enough?
From: lander7735@aol.com (LAnder7735)
Date: 12 Jun 1998 15:23:14 GMT
Links: << >>  << T >>  << A >>
A sales rep told me about DynaChip about two days ago.  DynaChip's main claim
to fame is that they are supposed to make the fastest FPGA's.  Apparently the
speed costs though, I think the parts are about twice as much as a comparable
Xilinx.  So if you can make your function work in Lucent, Xilinx, etc. that can
save you some money


 DynaChip Corporation
1255 Oakmead Parkway - Sunnyvale, California 94086
Phone: 408-481-3100, Fax: 408-481-3136
eMail: support@dyna.com - Internet: http://www.dyna.com
Article: 10726
Subject: Re: Xilinx 4000/Spartan: Maximum pin pullup
From: Peter Alfke <peter.alfke@xilinx.com>
Date: Fri, 12 Jun 1998 10:05:45 -0700
Links: << >>  << T >>  << A >>
Thilo Thiessenhusen wrote:

>                  Vdd
>                   |
>                  ---
>                  | |
>                  | | R
>                  ---
>         _         |          _
>   OBUFT _>--------o---------<_ IBUF
>
> What is the maximum resistance that guarantees a valid input level
> at any time? Would 100K be O.K.?
>
> [The actual configuration is a bit more complicated since there is
> a sensor between OBUFT and the dot, which can switch between
> open and about 10-20K].
>
> Thilo
>  

The relevant parameter is the input leakage current, specified as being
less than +/- 10 microamps. This would give a 1 V drop over a 100 kilohm
resistor, just barely acceptable in a 5-V system.

In reality, the input leakage current is far less, closer to 100 nA than
to one microamp, which means that even several hundred kilohms would
assure proper pull-up. ( But this is not formally guaranteed, because we
avoid the testing of very low currents, because it takes too much test
time ).

Of course this means that you must deactivate the internal pull-up
resistor, which by default appears on every input.

Obviously, such a high-impedance input can easily be disturbed by
capacitive crosstalk on the pc-board, and I would also advise to keep
the two neighboring pins on the chip very quiet, to avoid crosstalk in
the leadframe. If the application is slow, you can decouple the input
capacitively to ground, which would eliminate all these potential
crosstalk problems.

Peter Alfke, Xilinx Applications

Article: 10727
Subject: XC6000 VHDL models?
From: jff@mrc.uidaho.edu (Jim Frenzel)
Date: 12 Jun 1998 18:29:55 GMT
Links: << >>  << T >>  << A >>
Does anyone have behavioral VHDL models of the Xilinx
XC6000 primitives used with velab?

--
Jim Frenzel, Assoc. Professor      phone:  (208) 885-7532
Electrical Engineering, BEL 213    fax:    (208) 885-7579
University of Idaho, MS 1023       email:  jfrenzel@uidaho.edu
Moscow, ID 83844-1023 USA          www:    http://www.uidaho.edu/~jfrenzel
Article: 10728
Subject: Re: Fastest and biggest FPGA fast and big enough?
From: "kash johal" <kash@ix.netcom.com>
Date: 12 Jun 1998 23:10:11 GMT
Links: << >>  << T >>  << A >>
Lars,

We do low volume ASICs.
I would advise prototyping with the FPGA of your choice and once it is
functional we can give you a much faster, lower cost masked device with
Zero NRE.

In our technology you can run at 100Mhz plus with ease.


Regards,

Kash Johal
MacroTech Semiconductor
408 360 0430





Lars <larsher@online.no> wrote in article <35810460.729A@online.no>...
> Hi,
> What are the fastest and biggest FPGA available in samples within next
> few months?
> 
> The reason for me asking is that we have a large design that has to run
> fast, 66MHz. I know this does not sound too hard, but within these 15ns
> a 64 bit magnitude comparing (data greater or less than a register
> value) and 180 bit equality comparing has to be done. This plus alot of
> other logic adds up to over 100K ASIC gates. So the FPGA has to be big.
> We can accept pipelineing to some extent, but this requires also more
> resources in registers to hold data.
> 
> The alternative is of cource ASIC, but our vloumes are low, so FPGA
> would be great.
> 
> Has anyone done 64 bit magnitude comparator in an FPGA before?
> Does anyone have a feel about if this is feasable or not in an FPGA?
> Any input from you FPGA experts would be nice.
> 
Article: 10729
Subject: Re: Fastest and biggest FPGA fast and big enough?
From: Rickman <spamgoeshere2@yahoo.com>
Date: Fri, 12 Jun 1998 21:24:45 -0400
Links: << >>  << T >>  << A >>
Don Husby wrote:
> 
> larsher@online.no wrote:
> > The reason for me asking is that we have a large design that has to run
> > fast, 66MHz. I know this does not sound too hard, but within these 15ns
> > a 64 bit magnitude comparing (data greater or less than a register
> > value) and 180 bit equality comparing has to be done. This plus alot of
> > other logic adds up to over 100K ASIC gates. So the FPGA has to be big.
> > We can accept pipelineing to some extent, but this requires also more
> > resources in registers to hold data.
> 
> Lucent does pretty good with wide functions.  They claim to have their
> 3T80 part available now.
> Adding up the numbers for the -6 Speed grade, it can do a 64-bit arithmetic
> function in ~13ns.  If you include routing delays, this will probably
> exceed your 15ns requirement.
> 
> However, it's very easy to pipeline this function.  Registers are essentially
> free.  A 2-deep 64-bit pipelined comparator with input and output
> registers would take  9 PFU (Programmable Funcion Units) which is about
> 2% of the 3T80.  (A single PFU can be an 8-bit comparator with 8-bit register
> at its input and 1-bit register at its output)
> My guess is that this could easily run at 100MHz with their -5 speed grade.
> 
> A 180-bit pipelined equality comparator would also have similar performance.
> Each PFU can do a 16-bit equality-compare.  SLICs (Supplimental Logic and
> Interconnect Cells) can be used to OR the outputs.  My guess is that it would
> take less than 15 PFU to implement this function and could possibly be
> done in a single 15ns cycle.  It would take 23 PFU if you want to include a
> 180-bit input register.  The 3T80 has 484 PFU arranged in a 22x22 array.

I could kick myself... HARD! I haven't looked at the Lucent stuff lately
because they are hard to deal with when you are a small volume user. But
I just looked at their web site and found that they have a 3T+ series
that includes a PCI bus interface! We could have dropped an entire 208
pin chip from our design by using their 3T+But then I don't think I
would have gotten the level of support that I am getting from Xilinx. As
I said before, Lucent pretty much ignores the smaller accounts. 

I would have loved to have tried their chip though. 

-- 

Rick Collins

rickman@XYwriteme.com

remove the XY to email me.
Article: 10730
Subject: Re: book on ASIC
From: nospam@home.com (ChrisH)
Date: Sat, 13 Jun 1998 04:22:21 GMT
Links: << >>  << T >>  << A >>
On Fri, 12 Jun 1998 17:14:44 +0300, "Arie  Zychlinski" <ariez@ibm.net>
wrote:

You might want to check out :

Surviving the ASIC Experience
Online Price: $72.00
By Schroeter, John; Softcover; 205 Pages 
Published by Prentice Hall; 11/1991; ISBN: 0138778388

There's some info about it at Computer Literacy - www.clbooks.com

Here's a link to the title :

http://www.clbooks.com/sqlnut/SP/search/gtsumt?source=&isbn=0138778388

If you end up buying this or any other title(s) at Computer Literacy, 

Use this code : FriendOfChrisH 

when you place your order. It will give you an additional 15% discount
on anything you buy. Just enter this in the "Let us know how you found
us or enter referral code" box.
(This code will work for anyone)

I hope this info is helpful.

Chris (at) Huebner (dot) com

>I am an experienced user of FPGAs, doing also conversion to ASIC from FPGAs.
>Yet, (as the conversion is done in Orbit, AMI, ...) I have lack of knowledge
>on the ASIC field (such as , but NOT only: the processes during the
>production, the impact on using gate-array vs. standard-cell and other
>issues.)
>
>I am looking for a recommendation on a tutorial book. Can any one suggest
>one ?
>
>Thank you in advance.
>
>
>

Article: 10731
Subject: Re: Fastest and biggest FPGA fast and big enough?
From: Phil Hays <pdhays@sprynet.com>
Date: Fri, 12 Jun 1998 22:10:50 -0700
Links: << >>  << T >>  << A >>
LAnder7735 wrote:
>  DynaChip's main claim to fame is that they are supposed to make the fastest FPGA's.  

They did't have fast carry chains of Xilinx, so they were slower than
Xilinx for things that could use the fast carry chains.  Didn't work for
my design, but Dynachip's FPGAs have some neat features, might be worth
a look for some designs.


-- 
Phil Hays
"Irritatingly,  science claims to set limits on what 
we can do,  even in principal."   Carl Sagan
Article: 10732
Subject: Re: old PLDShell software wanted
From: z80@ds2.com (Peter)
Date: Sat, 13 Jun 1998 08:09:20 GMT
Links: << >>  << T >>  << A >>

There are various progs around which can convert Jedec into equations.
(I have never used one myself).

What you do after that I am not clear about. Since you cannot get
these old parts any more, why do you need a PLD assembler which
supports them? I would imagine my old freebie CUPL3 supports them but
as I say if you cannot get them...

>I am tasked with understanding an old design that used the Altera EP1210,
>which is equivalent to the Intel 5C121. Both of these PLDs are long since
>discontinued. I have the Jedec files but no logic equations.
>
>I have PLDShell versions 4 and 5, both of which appear to be too new to
>understand the EP1210/5C121. Altera's tech support had a datasheet for the
>part, but no old software available.
>
>Can anyone point me to, or send me, a version of PLDShell old enough to cover
>this part, or another means of disassembling the Jedec file to recover the
>logic equations?


Peter.

Return address is invalid to help stop junk mail.
E-mail replies to zX80@digiYserve.com but
remove the X and the Y.
Article: 10733
Subject: Metrology Software- Survey
From: "M. Boin" <info@boin-gmbh.com>
Date: Sun, 14 Jun 1998 14:06:36 -0700
Links: << >>  << T >>  << A >>
Hello,

Boin GmbH is a manufacturer of metrology software for the semiconductor 
industry. Our main product is WAFERMAP, a software to collect, edit, 
analyze and visualize measured physical parameters on semiconductor 
wafers. WAFERMAP can import raw data files from various metrology tools 
such as ellipsometers of four point probes. The data can be visualized by 
line scans, contour plots, 3D plots, histograms etc.

Details about WAFERMAP can be found at http://www.boin-gmbh.com

We are doing a survey among semiconductor fabs and research institutes in 
order to find out, which type of metrology equipment should be added to 
the list of import formats.

Currently the software supports: Prometrix Rs (OmniMap), Prometrix UV, 
Thermawave Optiprobe, Rudolph ellipsometer, Four Dimensions (Sheet 
resistance mapping), Jenoptik TWIN, OPUS, Nicolet, Plasmos ellipsometer, 
SOPRA SE.

If you know any type of metrology equipment which should be added to this 
list please send an e-mail to: info@boin-gmbh.com. It would be good to 
get additional information on the file format, example files etc. If you 
want to receive the free evaluation copy of WAFERMAP just send us an 
e-mail.

Please forward this message to your metrology engineers, process 
department or applications lab. Thank you!


*******************************************************
        Boin GmbH (i.G.)
        Graf-Albrecht-Str.24
        89160 Tomerdingen
        Germany
        Fone:   +49-(0) 7348-928233
        Fax:    +49-(0) 7348-928234
        E-Mail: info@boin-gmbh.com
        http://www.boin-gmbh.com
*******************************************************
Sign up for our free newsletter "WAFERMAP News" at
our website  and receive latest informations about
new software releases, upgrades, application notes,
events, press releases. Or just notify us and we
will  include you in the mailing list.
*******************************************************
Article: 10734
Subject: ASIC design service
From: "Khan Kibria" <kkibria@iss-us.com>
Date: Sun, 14 Jun 1998 14:37:52 -0700
Links: << >>  << T >>  << A >>
Hello ASIC decision maker:

we are ASIC design house that have expertize starting from FPGAs to all the
way to full custom chips. If you need ASIC design services please visit us
at following URL.We also specialize porting your existing FPGA design to
full ASIC.

http://www.iss-us.com/AsicTeam.htm

Thanks.

Khan.




Article: 10735
Subject: UPDATED ENGINEERING PAGE: Please visit and comment
From: Scott Paul Johnston <metad@globalnet.co.uk>
Date: Sun, 14 Jun 1998 14:53:24 -0700
Links: << >>  << T >>  << A >>
Please visit and comment on my Electronics and Electrical Engineering
pages located at:

http://www.users.globalnet.co.uk/~metad/eee.htm

Containing:
Introduction to EEE
Resources (over 100 web links)
Employment Statistics and newspaper excerpts
Engineering Poems, Quotations and Jokes
EEE at Glasgow University

In addition my homepage (http://www.users.globalnet.co.uk/~metad/)
contains:

A section about me
My CV
A James Bond Section
A guestbook
500+ cool links in the "new look" bookpage
Cool background MIDI and graphics
Literary quotations
Photo Album
Awards Page
Poems...

Basically, something for everyone!

PLEASE VISIT VIA MY MAIN HOMEPAGE ADDRESS!

Please send you comments via the guestbook or by Email (containing
your full name and Email and webpage addresses) and visit via 
http://www.users.globalnet.co.uk/~metad/.

Thanks 
Scott Johnston
metad@globalnet.co.uk
Article: 10736
Subject: Re: Free Computer --BULLSHIT! ADMAX/ComputerMania/PCmania MLM/Spam/ SCAM !!!
From: "Keith Christensen" <radiopro@gte.net>
Date: Sun, 14 Jun 1998 18:18:09 -0700
Links: << >>  << T >>  << A >>
Yeah right! Make 6 other suckers sign up 6 each more suckers ad infinitum.
How many friends and family members are gonna fall for this one??  So Mr
Klukan has to resort to newsgroup sales to get Admax off his back.

~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Article: 10737
Subject: Re: Free Computer --BULLSHIT! ADMAX/ComputerMania/PCmania MLM/Spam/ SCAM !!!
From: dgy@rtd.com (Don Yuniskis)
Date: 15 Jun 1998 02:24:16 GMT
Links: << >>  << T >>  << A >>
In article <6m1ssl$fsf$1@news-1.news.gte.net>,
Keith Christensen <radiopro@gte.net> wrote:
>Yeah right! Make 6 other suckers sign up 6 each more suckers ad infinitum.
>How many friends and family members are gonna fall for this one??  So Mr
>Klukan has to resort to newsgroup sales to get Admax off his back.
>
>~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
>From: beaver@usa.net (J Klukan)
>Newsgroups: comp.arch.embedded,comp.arch.fpga,comp.arch.storage
>Subject: Free Computer (Read--Easy, No money down)
>Date: Fri, 12 Jun 1998 06:07:41 GMT
>X-Newsreader: Forte Free Agent 1.0.82
>NNTP-Posting-Host: 208.132.56.50
>Message-ID: <3580c820.0@news.bigsky.net>

[snip]

[I won't do him the "service" of spreading his "nonsense" by
including a copy of it here...]

>-Jeremy
>
>PS:  This is not spam.  I have posted it to newsgroups that I have
>found computer-related material in.  Please do not criticize me for
>that.

Sorry, "Jeremy", but *you* don't define what "is" and "is NOT" spam.
The charters of the individual newsgroups define that -- something
you're obviously clueless about.

MLM and pyramid schemes are intended for suckers.

Please go suck someWHERE and someTHING *else*!

--don
Article: 10738
Subject: Re: Free Computer --BULLSHIT! ADMAX/ComputerMania/PCmania MLM/Spam/ SCAM !!!
From: Rickman <spamgoeshere2@yahoo.com>
Date: Sun, 14 Jun 1998 23:48:07 -0400
Links: << >>  << T >>  << A >>
I think that Jeremy would appreciate hearing from each of us. Why not
send him an email telling him just what you think of his offer?



Don Yuniskis wrote:
> 
> In article <6m1ssl$fsf$1@news-1.news.gte.net>,
> Keith Christensen <radiopro@gte.net> wrote:
> >Yeah right! Make 6 other suckers sign up 6 each more suckers ad infinitum.
> >How many friends and family members are gonna fall for this one??  So Mr
> >Klukan has to resort to newsgroup sales to get Admax off his back.
> >
> >~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
> >From: beaver@usa.net (J Klukan)
> >Newsgroups: comp.arch.embedded,comp.arch.fpga,comp.arch.storage
> >Subject: Free Computer (Read--Easy, No money down)
> >Date: Fri, 12 Jun 1998 06:07:41 GMT
> >X-Newsreader: Forte Free Agent 1.0.82
> >NNTP-Posting-Host: 208.132.56.50
> >Message-ID: <3580c820.0@news.bigsky.net>
> 
> [snip]
> 
> [I won't do him the "service" of spreading his "nonsense" by
> including a copy of it here...]
> 
> >-Jeremy
> >
> >PS:  This is not spam.  I have posted it to newsgroups that I have
> >found computer-related material in.  Please do not criticize me for
> >that.
> 
> Sorry, "Jeremy", but *you* don't define what "is" and "is NOT" spam.
> The charters of the individual newsgroups define that -- something
> you're obviously clueless about.
> 
> MLM and pyramid schemes are intended for suckers.
> 
> Please go suck someWHERE and someTHING *else*!
> 
> --don

-- 

Rick Collins
Article: 10739
Subject: Re: How about Lattice ispLSI?
From: Gary Helbig <ghelbig@slip.net>
Date: Sun, 14 Jun 1998 22:21:02 -0700
Links: << >>  << T >>  << A >>
Rene Kellenbach wrote:
> 
> Anybody over here with hands-on experience with the
> Lattice ispLSI series?
>

	A lot of "us" do.
 
> After many years of designing with simple PLD's,
> I am considering to move to something better.
> In-system programmability is an important factor,
> so the Lattice stuff seems pretty interesting.
>

	Xilinx CPLD's, and Cypress have ISP too.

> What is the best software package to use this
> stuff? I have fooled around with the Lattice starters
> kit for I while, but I am not impressed. What I would
> like is a relatively inexpensive package that allows
> mixed HDL and schematic entry.
> 

	Once I started using HDL, I quit using schematics
	for FPGA's and CPLD's.

	Too bulky, and harder to document.  I 

> How about the Lattice ispVHDL/Viewlogic package?
> Or the Synario/ABEL software. Which is best and why?
> (I have some hands-on experience with ABEL, but not
> VHDL. How difficult is this to learn?).
> 

	Depends on your mind-set.  Some take to it like
	ducks to water.  Some never grasp it.

> What about the performance of the chips? The timing
> model seems much simpler than FPGA's.

	True.  Lattice has some quirks, but they all
	do.

> Do I need a timing simulator or will a simple calculation
> by hand suffice?

	By hand (for CPLD's) will be more accurate than
	the low-end timing simulators.  They really can
	be treated like a lot of 22V10's in one box.

> What kind of system speed are we talking about? Most
> companies talk about flipflop toggle rates only, but
> what can be expected in real life?
> 
> Thanks.
> 
> Rene Kellenbach
> The Netherlands


Rene,

	The best VALUE I've seen is the Cypress WARP package.

	US$ 100 gets you a decent introductory text book, and
	a full on VHDL compiler.  The only restrictions are
	it only supports their parts, and it only does a
	functional simulation of a fully compiled part.

	For US$ 175, you get the book, compiler, sample parts
	and a programming probe.

Gary.
Article: 10740
Subject: Re: AHDL vs. VHDL vs. Verilog HDl
From: "Daniel Lang" <dlang@hydra0.caltech.edu>
Date: 15 Jun 1998 09:26:32 GMT
Links: << >>  << T >>  << A >>
Gary Helbig <ghelbig@slip.net> wrote in article <357F2750.2B3F@slip.net>...
> I won't get into the VHDL vs/ Verilog argument.  But, AHDL is good
> for Altera-made chips only, which limits its usefulness.
> 
> AHDL also has poor documentation, and a few bugs.
> 
> In other words, Verilog, VHDL; pick one.  Or both.  AHDL, stay away.

AHDL is one of the easiest to use HDL's and has excellent
documentation and on-line help.  The only disadvantage is
that it is vendor specific.

Daniel Lang  dbl@hydra0.caltech.edu

Article: 10741
Subject: Re: How about Lattice ispLSI?
From: r.kellenbach@NO_SPAM_multiweb.nl (Rene Kellenbach)
Date: Mon, 15 Jun 1998 10:13:41 GMT
Links: << >>  << T >>  << A >>
Gary,

>> What is the best software package to use this
>> stuff? I have fooled around with the Lattice starters
>> kit for I while, but I am not impressed. What I would
>> like is a relatively inexpensive package that allows
>> mixed HDL and schematic entry.
>> 
>
>        Once I started using HDL, I quit using schematics
>        for FPGA's and CPLD's.

After my first posting, lots of people told me to forget about
schematic entry - most s/w packages seem a real PITA
when it comes to mixed entry.

>> Do I need a timing simulator or will a simple calculation
>> by hand suffice?
>
>        By hand (for CPLD's) will be more accurate than
>        the low-end timing simulators.  They really can
>        be treated like a lot of 22V10's in one box.

Agreed, the timing model of CPLD's is pretty straightforward.

>        The best VALUE I've seen is the Cypress WARP package.
>
>        US$ 100 gets you a decent introductory text book, and
>        a full on VHDL compiler.  The only restrictions are
>        it only supports their parts, and it only does a
>        functional simulation of a fully compiled part.
>
>        For US$ 175, you get the book, compiler, sample parts
>        and a programming probe.

Hmm...I have already got the Cypress WARP package, but mine
is over 3 years old. I wasn't too impressed by then, but I will
take another look at what Cypress has to offer these days.

Thanks, Gary!

Rene Kellenbach
The Netherlands.




Article: 10742
Subject: Re: old PLDShell software wanted
From: Tim Forcer <tmf@ecs.soton.ac.uk.nojunk>
Date: Mon, 15 Jun 1998 15:39:18 +0100
Links: << >>  << T >>  << A >>
rkoblish@my-dejanews.com wrote:
> 
>I am tasked with understanding an old design that used the Altera EP1210,
>which is equivalent to the Intel 5C121. Both of these PLDs are long since
>discontinued. I have the Jedec files but no logic equations.
>
>I have PLDShell versions 4 and 5, both of which appear to be too new to
>understand the EP1210/5C121. Altera's tech support had a datasheet for the
>part, but no old software available.
>
>Can anyone point me to, or send me, a version of PLDShell old enough to cover
>this part, or another means of disassembling the Jedec file to recover the
>logic equations?

I've got a copy of V3.0 of "PLDShell Plus/PLDasm" (March 1993).  The
manual says this includes a utility program ("disassembler") which will
process a Jedec file into PDShell (*.pds) source.  As has been pointed
out, there are other programs out there which will convert Jedec to
equations, and it isn't that difficult to do by hand (although the
macrocell configuration fusing can be quite fun, particularly when the
manufacturer doesn't state anywhere what the macrocell fusing is!).

The "1210/5C121" isn't listed as supported by PLDShell V3.0.  Just the
usual 300/600/900/1800 types plus 22V10, 5C220 etc.  According to one of
my textbooks, the 40 pin EP1200 was introduced in 1984, had 12 inputs,
24 output cells, 4 buried registers, dual clocks and variable product
term distribution.  Output cell configurations were: combinational or
registered output with local enable, pin feedback and selectable
polarity.  I strongly suspect that the 1200/1210 were superseded by the
900/910, but without having upwards Jedec compatibility.

With the manufacturer's data sheet, you should be able to translate
manually the dependencies of outputs upon inputs, then set up a test
regime to determine things like clock dependencies, polarities and the
like.  Have you checked the Jedec to see if it includes Jedec-format
test vectors?  If so, then you can inspect to see which pins are
input-only, which output-only, whether any are I/O, whether any are
unused.  This all helps to limit the amount of work in unscrambling the
Jedec fusing.

Hope this helps, but suspect it doesn't - sorry.  I've checked some
other obsolete PLD software packages without success: PLPL, OPAL Jr, a
1991 version of ABEL and a 1987 evaluation version of ALTERANS.  The
last named actually refers to the 1210, but only in the negative sense
that the software (presumably when upgraded from evaluation-only to
full-function) can't support the programmable clock feature.

-- 
Tim Forcer               tmf@ecs.soton.ac.uk
Department of Electronics & Computer Science
The University of Southampton, UK

The University is not responsible for my opinions
Article: 10743
Subject: Re: Fastest and biggest FPGA fast and big enough?
From: husby@fnal.gov (Don Husby)
Date: Mon, 15 Jun 1998 14:47:00 GMT
Links: << >>  << T >>  << A >>
I wrote:
> However, it's very easy to pipeline this function.  Registers are essentially
> free.  A 2-deep 64-bit pipelined comparator with input and output 
> registers would take  9 PFU (Programmable Funcion Units) which is about
> 2% of the 3T80.  (A single PFU can be an 8-bit comparator with 8-bit register 
> at its input and 1-bit register at its output)
> My guess is that this could easily run at 100MHz with their -5 speed grade.

After further consideration, this is incorrect.
It's not so easy to do a pipelined arithmetic comparator.
I think it will be possible to do the entire 64-bits in a single 15 ns cycle.
The data sheet doesn't give the register-to-carry timing,
so you must verify this using the timing tools.  Maybe a Lucent
salesperson can do that for you.  In the worst case, it would
take 2 cycles, so you would need to ping-pong between two
identical circuits.  This would still only take 16 PFU or 3.3% of
a 3T80.




--
Don Husby <husby@fnal.gov>                        Phone: 630-840-3668
Fermi National Accelerator Lab                      Fax: 630-840-5406
Batavia, IL 60510
Article: 10744
Subject: Re: Representing Registers?
From: "Richard Iachetta" <iachetta@us.ibm.com>
Date: 15 Jun 1998 16:33:48 GMT
Links: << >>  << T >>  << A >>
Kenneth Johanssson <Kenneth.Johansson@ebc.ericsson.se> wrote in article
<358507c9.861736371@news.ericsson.se>...
> But is there some standard whay to write code so the
> compilers(analyser) know what I really mean. I ask as I have problem
> to get my code understood by two different compilers.
> 
> What iam trying to do is a shiftregister thats 8 bit wide and 4 step
> deep. And map this into an altera flex10k device.
> 
> This device is made of a 4bit lookup and a flipflop with
> set/reset/enable. This shiftreg would take 32 of these lcell as they
> are called.
> 
> My first try was
> ---------
> ENTITY pipe IS
> 	PORT(
> 		clock	: IN	BIT;
> 		enable	: IN	BIT;
> 		data	: IN	BIT_VECTOR(7 DOWNTO 0);
> 		head3	: OUT	BIT_VECTOR(7 DOWNTO 0);
> 		head2	: OUT	BIT_VECTOR(7 DOWNTO 0);
> 		head1	: OUT	BIT_VECTOR(7 DOWNTO 0);
> 		ouput	: OUT	BIT_VECTOR(7 DOWNTO 0));
> END pipe;
> 
> ARCHITECTURE synt OF pipe IS
> 
> BEGIN
> 	PROCESS(clock)
> 		VARIABLE h1,h2,h3 : BIT_VECTOR(7 DOWNTO 0);
> 	BEGIN
> 		if clock'EVENT and clock='1' AND  enable ='1' then
> 			ouput <= h1;
> 			head1 <= h2;
> 			head2 <= h3;
> 			head3 <= data;
> 			h1:=h2;
> 			h2:=h3;
> 			h3:=data;
> 		END IF;
> 	END PROCESS;
> END synt;
> -----------
> SYNPLIFY:  Makes exaktly what I want but I get 7 of the following
> warnings.
> 
> Feedback mux created for signal ouput[7:0]. Did you forget the
> set/reset assignment for this signal?
> 
> Well what exactly dose they mean with that. I expected a mux but how
> do I write to get this warning to disapear. I dont want to leave code
> that create compile time warnings.
> 
> 
> Maxplus: 
> Gets totally confused and refuses to compile.Complaining of no clock
> edge.
> I change "if clock'EVENT and clock='1' AND  enable ='1' then" to
> "if clock='1' AND  enable ='1' then" Then I get thru the compiler and
> no warings. BUT this makes only combinatorial logic. and uses 24
> lcells more than I want. So maxplus could not find any clock in this
> type of construct. Synplify compile it but warns that is has created
> latches. 
> 
> so a 
> process (clock)
> 	if clock='1' and <whateverer>
> 
> seams to mean no clock. How this is i dont really now as I have clock
> in the sesitivity list and then check if it is '1'. This can only mean
> a clock edge (to me anyway).
> 
> -------------
> As am more or less forced to use maxplus at this time I need to find
> something that works for maxplus but is generic enough to works on
> other compilers to. After 10-15 different ways (toke some time to
> discover that maxplus really dont handle variables any good) I have
> ended up with this.
> 
> ARCHITECTURE synt OF pipe IS
> 	signal h1,h2,h3 : BIT_VECTOR(7 DOWNTO 0);
> BEGIN
> 
> 	PROCESS(clock, enable)
> 	BEGIN
> 		if enable = '0' then
> 			null;
> 		elsif clock'event and clock='1' then
> 			ouput <= h1;
> 			h1 <= h2;
> 			h2 <= h3;
> 			h3 <= data;
> 		END IF;
> 	END PROCESS;
> 
> 	head1<=h1;		
> 	head2<=h2;		
> 	head3<=h3;		
> end synt;
> 
> This will do what I want in maxplus and in synplify. The only thing is
> that synplify is complaining again on creation of mux and set/reset
> signal.
> 
> 
> OK now this is NOT my first try and need some whay to understand why
> this works and not all my other tries.(well this is actually not my
> idea got it from altera)
> 
> Anyone care to explain to me how Iam suposed to think when Iam using
> vhdl. Now iam obviously doing something wrong. 10% of my time to think
> what i need to do and 90% to get the compiler to understand. Normally
> I use a development tool to decrease the time. 
> 

Kenneth, To answer your first question: no there is no "standard" way to do
what you want.  A standard for synthesizable VHDL is in the works but until
then the code is somewhat open to interpretation.  There usually is a "common"
way to get most constructs which most synthesizers support.  The common way to
do what you want (i.e. registers with clock enable) is this: (using your code)

PROCESS(clock, enable)
BEGIN

   if clock'event and clock='1' then
      if (enable = '1') then
         ouput <= h1;
         h1 <= h2;
         h2 <= h3;
         h3 <= data;
      end if;
   end if;
END PROCESS;

This works for Synplify, Synopsys and many other synthesizers.  When used with
Altera or Xilinx parts, this code acutally uses the clock enable input of the
DFFE in the Lcell which is what you want for minimal logic and best
performance.  The problem is that Altera's synthesizer uses this "uncommon"
(can't really say non-standard) way of specifying registers with clock enable:
(the way you found)

 	PROCESS(clock, enable)
 	BEGIN
 		if enable = '0' then
 			null;
 		elsif clock'event and clock='1' then
 			ouput <= h1;
 			h1 <= h2;
 			h2 <= h3;
 			h3 <= data;
 		END IF;
 	END PROCESS;

It will not recognize the first process as a clock enable and thus will not use
the clock enable pin in the DFFE.  It builds a wasteful and slower mux-type
structure instead.  At least this was the case when I was using Maxplus2
several versions ago.  And Synopsys or Synplify do not really do the right
thing for this second process (i.e. they don't recognize the clock enable) and
you get an incication of the wasteful construct they are trying to build by the
warning message you describe.  So, if nothing has changed with the software
since I used it, then you are stuck with two incompatible ways of specifying
clock enabled logic.  I'm guessing that the reason you need your code to
complile for both Maxplus2 and Synplify is because you want to evalutate
Synplify vs. Maxplus2?  This is why I had this exact problem.  What I had to do
was take a snapshot of the Altera code and then hand convert all the clock
enable constructs to my first process so that I could fairly evaluate Synplify
and Synopsys.  It was a pain.  I told Altera at the time that I thought they
should really support the first construct since that is by far how it is
commonly done, so try that first on the remote chance that Maxplus2 recognizes
it now.  Hope this helps.

-- 
Rich Iachetta
IBM Corporation
iachetta@us.ibm.com


Article: 10745
Subject: Re: Fastest and biggest FPGA fast and big enough?
From: Peter Alfke <peter.alfke@xilinx.com>
Date: Mon, 15 Jun 1998 10:41:42 -0700
Links: << >>  << T >>  << A >>
Lars wrote:

> Hi,
> What are the fastest and biggest FPGA available in samples within next
>
> few months?
>
> The reason for me asking is that we have a large design that has to
> run
> fast, 66MHz. I know this does not sound too hard, but within these
> 15ns
> a 64 bit magnitude comparing (data greater or less than a register
> value) and 180 bit equality comparing has to be done. This plus alot
> of
> other logic adds up to over 100K ASIC gates. So the FPGA has to be
> big.
> We can accept pipelineing to some extent, but this requires also more
> resources in registers to hold data.
>
> The alternative is of cource ASIC, but our vloumes are low, so FPGA
> would be great.
>
> Has anyone done 64 bit magnitude comparator in an FPGA before?
> Does anyone have a feel about if this is feasable or not in an FPGA?
> Any input from you FPGA experts would be nice

The fastest Xilinx parts are the XC4000XL devices, and their fastest
members are the -08 speed grade, which is just being announced. ( 08
sytands fro something like 0.8 ns delay through a look-up table, so
these devices are FAST)The moment you allow pipelining, speed is no
longer an issue.
It makes a big difference whether you compare against a constant ( i.e.
a value that will not change for several seconds or more), or whether
you must compare against a variable, which can change every clock cycle.
That doubles the complexity, at least in look-up-table based FPGAs.
With pipelining, I am sure that your design can be implemented in an
XC4000XL device.

Peter Alfke, Xilinx Applications.  

Article: 10746
Subject: *** PALCE22v10 / GAL22v10 programming algorithms needed
From: Vito Veneziani ( vitosim_at_tin.it )
Date: Mon, 15 Jun 1998 21:15:02 GMT
Links: << >>  << T >>  << A >>
Is there anybody who can send me ( or tell me where to find ) the
programming algorithms for PALCE22v10 / GAL22v10 devices ?

Thanks.
Vito Veneziani
Article: 10747
Subject: Do You need any components
From: RAZ Semiconductors <dackman@razsemi.com>
Date: Mon, 15 Jun 1998 17:15:48 -0400
Links: << >>  << T >>  << A >>
Call me I can source any board level component.
New or obsolete.
RAZ SEMICONDUCTORS
6525 VANDEN ABEELE
ST.LAURENT QUEBEC
H4S 1S1 CANADA
1-514-334-2447 TEL
1-514-334-7794 FAX
dackman@razsemi.com
Article: 10748
Subject: Wallace trees
From: african@hol.gr
Date: Tue, 16 Jun 1998 00:39:48 +0300
Links: << >>  << T >>  << A >>
Hi!
I'm working on multiplier architectures and i'd like to know if it
possible to generate the Wallace tree structure using only VHDL code, or
a Wallace tree generator (written perhaps in C) has to be used? 
Does anyone know if there any Wallace tree VHDL models available ? Any
Wallace tree generators ? Any related literature?
If anyone has any information I wouLd appreciate it.

Thanks
Article: 10749
Subject: Re: subscribe ESNUG
From: jcooley@world.std.com (John Cooley)
Date: Mon, 15 Jun 1998 22:08:44 GMT
Links: << >>  << T >>  << A >>
Mario Porrmann  <porrmann@hni.uni-paderborn.de> wrote:
>Hello,
>
>I want to subscribe the E-mail Synopsys Users Group
>
>Mario Porrmann

Here's how to subscribe or unsubscribe to the E-mail Synopsys Users Group
(ESNUG) mailing list via the Majordomo listserver.  Please excuse the
recorded nature of this message.

For discussion's purpose, let's assume you're a disgruntled Gerry Hsu
about to leave Cadence to make your own start-up called "Avanti".  To
get that bad Cadence e-mail address unsubscribed from ESNUG, you'd e-mail
FROM YOUR CADENCE ACCOUNT:

        To: esnug-request@world.std.com
        From: gerry_hsu@cadence.com
        Subject: Whatever...  The Subject Is Ignored By Majordomo

        unsubscribe esnug gerry_hsu@cadence.com

  (or you could just simply say "unsubscribe" without the "esnug
   gerry_hsu@cadence.com" if you felt lazy.  The important thing
   is that this e-mail COMES from your Cadence account!)

Now, weeks later, you've got the Venture Capitalists to fund you and your
lawyers tell you: "OK, we can defend you in this lawsuit."  You hire some
SysOps, buy some machines, and create a new e-mail domain.  Now you want to
subscribe to ESNUG.  FROM YOUR NEW AVANTI ACCOUNT, you'd e-mail:

        To: esnug-request@world.std.com
        From: gerry_hsu@avanticorp.com
        Subject: Again, Whatever... (Subjects Are Ignored By Majordomo)

        subscribe esnug gerry_hsu@avanticorp.com

  (or you could just simply say "subscribe" without the "esnug
   gerry_hsu@avanticorp.com" if you felt lazy.  The important thing
   is that this e-mail COMES from your Avanti account!)

Now, let's say on your last day at Cadence the HR people had obnoxious
security guards there to walk you out of the building and you couldn't
have e-mailed the unsubscribe notice in from your Cadence account.  FROM
YOUR NEW AVANTI ACCOUNT, you'd e-mail:

        To: esnug-request@world.std.com
        From: gerry_hsu@avanticorp.com
        Subject: You Guessed It... (Subjects Are Ignored By Majordomo)

        unsubscribe esnug gerry_hsu@cadence.com
        subscribe esnug gerry_hsu@avanticorp.com

  (and this time you *must* say the whole "unsubscribe esnug
   gerry_hsu@cadence.com" -- if you're doing this from your
   @avanticorp.com e-mail account.)

Again, sorry for the pre-recorded nature of this message but I'm trying to
offload some of the tedious admin aspects of running ESNUG to software
designed to handle such tasks.  Ain't progress wonderful?  :^)

                           - John Cooley
                             Part Time EDA Consumer Advocate
                             Full Time ASIC, FPGA & EDA Design Consultant

===========================================================================
 Trapped trying to figure out a Synopsys bug? Want to hear how 5500+ other
 users dealt with it ?  Then join the E-Mail Synopsys Users Group (ESNUG)!
 
      !!!     "It's not a BUG,               jcooley@world.std.com
     /o o\  /  it's a FEATURE!"                 (508) 429-4357
    (  >  )
     \ - /     - John Cooley, EDA & ASIC Design Consultant in Synopsys,
     _] [_         Verilog, VHDL and numerous Design Methodologies.

     Holliston Poor Farm, P.O. Box 6222, Holliston, MA  01746-6222
   Legal Disclaimer: "As always, anything said here is only opinion."


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search