Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 17350

Article: 17350
Subject: Re: Solaris vs. NT
From: Ray Andraka <randraka@ids.net>
Date: Thu, 22 Jul 1999 07:13:34 -0400
Links: << >>  << T >>  << A >>
Floorplanning is made alot easier with graphics.

Zoltan Kocsi wrote:

> By the way, what do you exactly do with graphics packages when you
> design FPGAs ?
>



--
-Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930     Fax 401/884-7950
email randraka@ids.net
http://users.ids.net/~randraka


Article: 17351
Subject: Re: License sharing for synopsys/cadence/modeltech
From: Peter Beukelman <beukelman@doublebw.com>
Date: Thu, 22 Jul 1999 13:16:14 +0200
Links: << >>  << T >>  << A >>
chipfactory@hotmail.com wrote:

> Hi Folks:
> When we read the documentation for Synopsys we found out that
> a license server can also be somewhere in the internet. Now we
> came up with the idea to connect our Synopsys/Cadence/Modeltech
> license server to the internet and share our licenses with others.
> During the night here in Europe our licenses are not used.
> Would there someone be interested and is this legal?
>
> Best regards
> Jack
>

I think it is a better option to not only share the license, but also
share the machine it is running on. You could have a mail interface for
script based synthesis. Customers send in a mail with their HDL and a
synthesis script along with a target technology. It runs on the idle
computer with the idle license and returns a netlist by mail. This way
you would factually be running a design house with specifications
written down in hdl and a script, and who could object to that ?
I think there would be a great market for this, since there are many
small businessis that can not afford a full license for say Synopsys
Design Compiler.
Best regards,
Peter

Article: 17352
Subject: Re: Solaris vs. NT
From: wen-king@myri.com (Wen-King Su)
Date: 22 Jul 1999 06:44:12 -0700
Links: << >>  << T >>  << A >>
In a previous article rk <stellare@NOSPAM.erols.com> writes:
:
;hi wen-king,
:
;i don't know your fpga tools, but can't they be run from a simple batch file
:and a command language?

It doesn't have a simple batch interface.  And furthermore, I want the
machine to be always available for me to log in remotely to do anything
I want to do, and to initiate new batch jobs whenver I have a new idea. 


Article: 17353
Subject: Looking for proceedings
From: Eduardo Augusto Bezerra <E.A.Bezerra@sussex.ac.uk>
Date: Thu, 22 Jul 1999 15:03:02 +0100
Links: << >>  << T >>  << A >>

Hello

I'd like to know where to buy the proceedings of the following
conferences:

- ACM/SIGDA International Symposium on Field-Programmable Gate Arrays
  FPGA '97, FPGA '98 and  FPGA '99

- Reconfigurable Architectures Workshop: RAW 1997, 1998 and 1999 

- IEEE SYMPOSIUM ON FPGAs FOR CUSTOM COMPUTING MACHINES
  FCCM '97, FCCM '98, FCCM '99

- International Workshop on Field-Programmable Logic and Applications
  FPL '97, FPL '98, FPL '99


Thanks

Eduardo.
Article: 17354
Subject: Re: Solaris vs. NT
From: husby@fnal.gov (Don Husby)
Date: Thu, 22 Jul 1999 15:43:32 GMT
Links: << >>  << T >>  << A >>
Zoltan Kocsi <root@127.0.0.1> wrote:
> While I would not dare to enter the "which wordprocessor is better"
> arena, (even though I used some on unix when NT wasn't even dreamt of)

As did I.  And sometimes, when I'm forced to enter the Unix domain and
use one of those editors, I realize that I can write an entire 12-page
document with diagrams and color pictures using Microsoft Word in the
time it takes me to remember what the proper key combination is to exit
and save a text file from a Unix editor. 
And give me Word any day over trying to hack runoff or LaTex.

> I'd be rather interested in the SW development tools which are better, 
> cheaper and sooner on Windows than on unix.

  A visual C++ development environment is much better than any Unix
environment I've ever used.  The visual C++ debugger is quite pleasant.
Integrated object browsers, documentation, and resource editors make
it almost too easy to develop (windows-based) software.  I've struggled
with Unix debuggers, but never found them easier to use than just putting
printf's in my code.

> Unix was designed by SW developers who wanted to make a very creative
> development environment - IMHO they achieved their goal. Much much
> before Bill Gates dropped out from school. Unix has been developing since
> and this process was mostly controlled by people who wanted to create an 
> even more creative and productive development environment. 

Evolution?  I agree that Unix has an admirable history.  Once upon a time
it was the best thing around (well except for VMS :)  It is especially 
loved by people who like to develop more Unix tools and gadgets.  But
for doing other work, Windows is simply more useful.  While Unix has
thousands of hackers controlling its evolution, Windows has millions
of customers and billions of dollars.  It has the support of office
users, game players, scientific users, hardware developers, multimedia
developers, and millions of software developers, too.  I agree that
evolution applies here, but it looks to me that natural selection
favors Windows.  I don't like this any more than you do, but I've
accepted it.  

> Mind you, what I see on the net is that SW developers on Windows quite
> often use unix tools ported to Windows. Would that be an indication
> about that better/sooner/cheaper thingy ?

I haven't seen this.  Do you have an example?  Are they porting GNU tools
to windows because it's a fun game, or are they really using it to develop
application software.

> By the way, what do you exactly do with graphics packages when you 
> design FPGAs ? 

Sometimes I have to document my work.  :)
Usually I use them to analyze scientific data.
I have a chip floorplanner that runs under microsoft Excel, although
you'd be happy to hear that it also uses ~1000 lines of AWK code.



--
Don Husby <husby@fnal.gov>                        Phone: 630-840-3668
Fermi National Accelerator Lab                      Fax: 630-840-5406
Batavia, IL 60510
Article: 17355
Subject: Re: Looking for proceedings
From: hauck@ece.nwu.edu (Scott Hauck)
Date: Thu, 22 Jul 1999 16:13:59 GMT
Links: << >>  << T >>  << A >>
For IEEE and ACM conferences, you should contact IEEE and ACM directly.  I 
know that the FPGA and FCCM proceedings are available that way.  For FPL you 
can contact Springer and Abingdon (the publishers).  The pattern for FPL seems 
to be that the England offerings are published by Abingdon, the non-England 
ones by Springer (however, several of my proceedings are on loan so I couldn't 
check).

For RAW, I'd try the program committee chair.  If it has a professional 
organization that it is associated with, you can contact them directly.

Scott

In article <37972496.3A758964@sussex.ac.uk>, Eduardo Augusto Bezerra 
<E.A.Bezerra@sussex.ac.uk> wrote:
>
>Hello
>
>I'd like to know where to buy the proceedings of the following
>conferences:
>
>- ACM/SIGDA International Symposium on Field-Programmable Gate Arrays
>  FPGA '97, FPGA '98 and  FPGA '99
>
>- Reconfigurable Architectures Workshop: RAW 1997, 1998 and 1999 
>
>- IEEE SYMPOSIUM ON FPGAs FOR CUSTOM COMPUTING MACHINES
>  FCCM '97, FCCM '98, FCCM '99
>
>- International Workshop on Field-Programmable Logic and Applications
>  FPL '97, FPL '98, FPL '99
>
>
>Thanks
>
>Eduardo.

+-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-+
|              Scott A. Hauck, Assistant Professor                        |
|  Dept. of ECE                      Voice: (847) 467-1849                |
|  Northwestern University           FAX: (847) 467-4144                  |
|  2145 Sheridan Road                Email: hauck@ece.nwu.edu             |
|  Evanston, IL  60208               WWW: http://www.ece.nwu.edu/~hauck   |
+-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-+
Article: 17356
Subject: Workstation with Synopsys license server
From: ruenz@tzk.uni-konstanz.de (Friedhelm Rünz)
Date: Thu, 22 Jul 1999 16:20:43 GMT
Links: << >>  << T >>  << A >>
Hello Everybody,
We got a SPARC Workstation from a bankrupt client of us. By
coincidence we found out, that this workstation was used as a file and
license server for Synopsys tools.
We don't know what this is worth, but that company owed us
160.000,--DM (~US$90.000,--) so we would like to sell this
workstation. The best offer will get it.
The license server has several licenses for the following Ver. 1999
packages:
	dc_expert ultra plus
	test compiler
	bahaviour, verilog, vhdl compiler
	prime time
	designware developer ultra
	FPGA compiler II
	etc.

If someone is interested in this workstation please email me.

ruenz@tzk.uni-konstanz.de

Best regards
Friedhelm

Article: 17357
Subject: Re: Solaris vs. NT
From: "Paul Baxter" <nospam@paje.globalnet.co.uk>
Date: Thu, 22 Jul 1999 17:23:26 +0100
Links: << >>  << T >>  << A >>
Things aren't standing still in Linux etc. Currently I, too, still prefer MS
VC/Word etc.
But looking at code crusader (like MS DevStudio) /word perfect (Word) /gdb
gui etc may soon change your opinion.
Cygnus are putting together some nice Linux development tools.

As a s/w engineer on the side its better to have dev tools that run in more
places.
Certainly the user support/ bug fixes / additions are considerably better.

Anyway at present its keeping Microsoft on their toes, which has got to be
good for users everywhere.

Who knows in five years they might go the way of IBM. (ie not quite so
dominant but still a force)

Enough jabbering. This is off-topic.

I want to be able to run my FPGA tools on whichever machine I have handy!

Paul


Article: 17358
Subject: Re: Solaris vs. NT
From: "Paul Baxter" <nospam@paje.globalnet.co.uk>
Date: Thu, 22 Jul 1999 17:27:57 +0100
Links: << >>  << T >>  << A >>

> Enough jabbering. This is off-topic.

By me that is!
Didn't imply you can't continue!

Paul

Article: 17359
Subject: Re: Floating point on fpga, Counters?
From: hauck@ece.nwu.edu (Scott Hauck)
Date: Thu, 22 Jul 1999 16:44:57 GMT
Links: << >>  << T >>  << A >>
In article <7lvmge$dpa$1@cronkite.ttc.com>, "Trevor Landon" <landont@ttc.com> 
wrote:
>I guess heart of my question was whether there was a cleaver algorithm to
>simplify this problem.  (Perhaps keeping a full sized counter in the
>background, and adding/single bit shifting the mantissa as needed)
>
>Thanks,
>Trevor Landon

I would suspect that you could do exactly what you are suggesting (assuming 
you don't need something so fast that you need prescaled counters, etc.).  
I'll assume you are building just an up counter, though an up/down counter may 
be doable, but slower.

Build a counter large enough to hold the entire range of values.  The counter 
would essentially be an adder with an output register "count" that feeds back 
to one input, plus a register "increment" that says how much to add to the 
value at each step.  Also, a seperate exponent logic would be needed, which 
would be a basic integer upcounter.  The counter is initialized to the proper 
initial bit pattern for the starting mantissa and exponent.  We also load the 
increment counter with the proper value for the stride, assuming that it has 
exactly the same exponent as the count number (thus, if the counter starts at 
10010.0101, and you want to increment by 1 each time, you would set the 
increment value to 000010000, so that the 1 lines up with the proper bit 
position of the counter value).

Now, if for each upcount you add the increment to the counter, everything 
works fine until you overflow the mantissa.  To deal with this, you have a 
check that determines when the mantissa will overflow.  This is simplest with 
pure upcounters (vs. up/down counters) with an increment value that has just a 
single 1 (as opposed to incrementing by 5 or something else), since you can 
pipeline this detector.  For the simple case, you just detect that all bits of 
the count to the left or even with the 1 in the increment should be a 1.

Now, to handle mantissa overflow, you perform the addition just as normal.  
However, you must shift both the count and the increment values one to the 
right, and increment the exponent.

I'm obivously glossing over some issues, but this should give the basic 
outline of the system.  I'll leave it as an exercise to the reader to get the 
exact area counts, but I would suspect this would be almost as fast, and about 
3x larger or so, than a fixed point, non prescaled counter.

Scott

+-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-+
|              Scott A. Hauck, Assistant Professor                        |
|  Dept. of ECE                      Voice: (847) 467-1849                |
|  Northwestern University           FAX: (847) 467-4144                  |
|  2145 Sheridan Road                Email: hauck@ece.nwu.edu             |
|  Evanston, IL  60208               WWW: http://www.ece.nwu.edu/~hauck   |
+-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-+
Article: 17360
Subject: Re: License sharing for synopsys/cadence/modeltech
From: Brian Philofsky <brianp@xilinx.com>
Date: Thu, 22 Jul 1999 09:47:48 -0700
Links: << >>  << T >>  << A >>
This is a multi-part message in MIME format.
--------------51B8B0E0AD4DD441FB47031E
Content-Type: multipart/alternative;
 boundary="------------D724E6CCAAD3399FE49A5296"


--------------D724E6CCAAD3399FE49A5296
Content-Type: text/plain; charset=us-ascii
Content-Transfer-Encoding: 7bit

Peter Beukelman wrote:

> I think it is a better option to not only share the license, but also
> share the machine it is running on. You could have a mail interface for
> script based synthesis. Customers send in a mail with their HDL and a
> synthesis script along with a target technology. It runs on the idle
> computer with the idle license and returns a netlist by mail. This way
> you would factually be running a design house with specifications
> written down in hdl and a script, and who could object to that ?
> I think there would be a great market for this, since there are many
> small businessis that can not afford a full license for say Synopsys
> Design Compiler.
> Best regards,
> Peter

Xilinx has been doing this for sometime targeting our CPLD technology.
See http://www.xilinx.com/products/software/we_detail.htm#WebFITTER for
details if you are intersted.


--  Brian



--
-------------------------------------------------------------------
 / 7\'7 Brian Philofsky   (brian.philofsky@xilinx.com)
 \ \ `  Xilinx Applications Engineer             hotline@xilinx.com
 / /    2100 Logic Drive                         1-800-255-7778
 \_\/.\ San Jose, California 95124-3450          1-408-879-5199
-------------------------------------------------------------------



--------------D724E6CCAAD3399FE49A5296
Content-Type: text/html; charset=us-ascii
Content-Transfer-Encoding: 7bit

<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
Peter Beukelman wrote:
<blockquote TYPE=CITE>I think it is a better option to not only share the
license, but also
<br>share the machine it is running on. You could have a mail interface
for
<br>script based synthesis. Customers send in a mail with their HDL and
a
<br>synthesis script along with a target technology. It runs on the idle
<br>computer with the idle license and returns a netlist by mail. This
way
<br>you would factually be running a design house with specifications
<br>written down in hdl and a script, and who could object to that ?
<br>I think there would be a great market for this, since there are many
<br>small businessis that can not afford a full license for say Synopsys
<br>Design Compiler.
<br>Best regards,
<br>Peter</blockquote>

<p><br>Xilinx has been doing this for sometime targeting our CPLD technology.&nbsp;
See <A HREF="http://www.xilinx.com/products/software/we_detail.htm#WebFITTER">http://www.xilinx.com/products/software/we_detail.htm#WebFITTER</A> for
details if you are intersted.
<br>&nbsp;
<p>--&nbsp; Brian
<br>&nbsp;
<br>&nbsp;
<pre>--&nbsp;
-------------------------------------------------------------------
&nbsp;/ 7\'7 Brian Philofsky&nbsp;&nbsp; (brian.philofsky@xilinx.com)
&nbsp;\ \ `&nbsp; Xilinx Applications Engineer&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; hotline@xilinx.com
&nbsp;/ /&nbsp;&nbsp;&nbsp; 2100 Logic Drive&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; 1-800-255-7778&nbsp;
&nbsp;\_\/.\ San Jose, California 95124-3450&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; 1-408-879-5199&nbsp;
-------------------------------------------------------------------</pre>
&nbsp;</html>

--------------D724E6CCAAD3399FE49A5296--

--------------51B8B0E0AD4DD441FB47031E
Content-Type: text/x-vcard; charset=us-ascii;
 name="brianp.vcf"
Content-Transfer-Encoding: 7bit
Content-Description: Card for Brian Philofsky
Content-Disposition: attachment;
 filename="brianp.vcf"

begin:vcard 
n:Philofsky;Brian
tel;fax:(408) 879-4442
tel;work:1-800-255-7778
x-mozilla-html:TRUE
org:<BR><H1 ALIGN="CENTER"><img src="http://www.xilinx.com/images/xlogoc.gif" alt="Xilinx" ALIGN="CENTER">  Design Center
version:2.1
email;internet:brianp@xilinx.com
title:<H3 ALIGN="CENTER"><img src="http://bennyhills.fortunecity.com/deadparrot/108/homer.gif" alt="Homer" align="center"> Application Engineer 
adr;quoted-printable:;;2100 Logic Drive=0D=0ADept. 2510;San Jose;CA;95124-3450;USA
x-mozilla-cpt:;15200
fn:<H3 ALIGN="CENTER">Brian Philofsky
end:vcard

--------------51B8B0E0AD4DD441FB47031E--

Article: 17361
Subject: Re: Question Resolved, Thanks
From: Nicholas Brown <nbrownNOT@gulfaccess.com>
Date: Thu, 22 Jul 1999 12:52:41 -0400
Links: << >>  << T >>  << A >>
The service pack at
Ftp://ftp.xilinx.com/pub/swhelp/M1.5_updates/15_service_pack1_nt.zip seems
to have fixed the problem. TRIPGENI.SCH and ADD1_40 now gets through the
place and route. I still get a warning on "Create Netlist" but that should
be resolved with
ftp://ftp.xilinx.com/pub/swhelp/M1.5_updates/15_sp1_ftp1_nt.zip .
Dave, Philip; Thanks for the help.
-Nick


Article: 17362
Subject: Re: Solaris vs. NT
From: "Andy Peters" <apeters@noao.edu.NOSPAM>
Date: Thu, 22 Jul 1999 09:58:34 -0700
Links: << >>  << T >>  << A >>
Don Husby wrote in message <7n7e73$3h8$1@info3.fnal.gov>...
>I haven't seen this.  Do you have an example?  Are they porting GNU tools
>to windows because it's a fun game, or are they really using it to develop
>application software.


The GNU tools have been ported to NT; I think that Cygnus did the work.  In
any event, we're going to use one of the Motorola ColdFire processors for an
upcoming project, and after spending a couple of weeks futzing with the GNU
compiler, I've decided to buy the Diab Data compiler.  Runs on Unix and NT
(same price!), looks and smells like the GNU compiler, but it's documented
and supported.  And they give you some nice BOOKS (dead tree technology)
when you pays your money.

The GNU compiler documentation looks like it was created by committee.  Good
luck actually finding anything in it.

See, I'm a hardware guy whose programming background is strictly Borland
C++, and I want to get my test code up and running ASAFP.  The less time
spent dealing with cryptic make files, the better.

Now, I think that Borland (now Inprise, whatever the fark that means) could
make a killing if they ported C++ Builder to Linux.  yeah, that's the
ticket...

On the topic of "Unix apps ported to NT," uh, v5.2 of ModelSim PE uses the
same GUI as the Unix version.  There's some kind of intermediate shell
happening.  guess what? it's slooow as molasses when you're dealing with the
waveform display. YECCCCH.  for this we paid good money?

-- a
-----------------------------------------
Andy Peters
Sr Electrical Engineer
National Optical Astronomy Observatories
950 N Cherry Ave
Tucson, AZ 85719
apeters (at) noao.edu

"You want partial credit?  You build bridge, bridge falls down - no partial
credit."
-- Dr A. Chang, professor of Mechanical Engineering at Stevens Institute of
Technology



Article: 17363
Subject: The User Written "DAC Trip Report" Is At http://www.DeepChip.com
From: jcooley@world.std.com (John Cooley)
Date: Thu, 22 Jul 1999 17:33:27 GMT
Links: << >>  << T >>  << A >>
For those of you who aren't on the ESNUG mailing list, I just wanted
to say that my annual 'DAC Trip Report' is on the ESNUG archive site
at http://www.DeepChip.com .  (This year's trip report is a conglomeration
of 77 DAC-attending engineer's individual trip reports and it covers
everything from C-based design to detailed technical insights on the
latest P&R-related tools.  These DAC Trip Reports are very user driven,
so you'll find it cuts through a lot of the marketing crap the EDA
vendors like to push on us.)

                           - John Cooley
                             Part Time EDA Consumer Advocate
                             Full Time ASIC, FPGA & EDA Design Consultant

P.S.  Also on the site are some photos I took while on the last day of DAC
      with EE Times reporter Mike Santarini on Bourbon Street.


============================================================================
 Trapped trying to figure out a Synopsys bug?  Want to hear how 6000+ other
 users dealt with it ?  Then join the E-Mail Synopsys Users Group (ESNUG)!
 
      !!!     "It's not a BUG,               jcooley@world.std.com
     /o o\  /  it's a FEATURE!"                 (508) 429-4357
    (  >  )
     \ - /     - John Cooley, EDA & ASIC Design Consultant in Synopsys,
     _] [_         Verilog, VHDL and numerous Design Methodologies.

     Holliston Poor Farm, P.O. Box 6222, Holliston, MA  01746-6222
   Legal Disclaimer: "As always, anything said here is only opinion."
Article: 17364
Subject: Re: Workstation with Synopsys license server
From: ryan@oscsystems.com (ken ryan)
Date: 22 Jul 1999 18:52:22 GMT
Links: << >>  << T >>  << A >>

If Synopsys is willing to transfer the licenses, it would be worth many
times your $90k.  If Synopsys will not transfer the licenses, or will do
so for a steep fee (which I suspect is the case), then the unit is worth
a tiny fraction of the $90k...

Just my 2 cents (mostly for the benefit of EDA newbies reading this).

		ken

Friedhelm Rünz (ruenz@tzk.uni-konstanz.de) wrote:
: Hello Everybody,
: We got a SPARC Workstation from a bankrupt client of us. By
: coincidence we found out, that this workstation was used as a file and
: license server for Synopsys tools.
: We don't know what this is worth, but that company owed us
: 160.000,--DM (~US$90.000,--) so we would like to sell this
: workstation. The best offer will get it.
: The license server has several licenses for the following Ver. 1999
: packages:
: 	dc_expert ultra plus
: 	test compiler
: 	bahaviour, verilog, vhdl compiler
: 	prime time
: 	designware developer ultra
: 	FPGA compiler II
: 	etc.


-- 
Kenneth Ryan
Principal Engineer		ryan@oscsystems.com	M/S: E-15
Orbital Sciences Corp.          (301) 353-1714          20301 Century Blvd.
   / Fairchild Defense          FAX:     -8679          Germantown, MD 20874
Article: 17365
Subject: tiles-rus 8405
From: anl@completebbs.com
Date: 22 Jul 1999 20:52:11 GMT
Links: << >>  << T >>  << A >>
tiles-r-us.com #1 source for ceramic tile, Floor & Wall Ceramic tile, mosaic ceramic tile, tools fo the professioanl & the do it yourself, do it yourself guide, for a complete guide how to install ceramic tile. and much more
cwvfbxdjvrmosucbozxwnxudcmjxibogbcwixeqbddqvogvoodrximbtyfggsxofjmkebytgbsmidumsxrnxpyrmy

Article: 17366
Subject: Re: tiles-rus 8405
From: Ray Andraka <randraka@ids.net>
Date: Thu, 22 Jul 1999 17:21:38 -0400
Links: << >>  << T >>  << A >>
Maybe this guy is good at floorplanning?

anl@completebbs.com wrote:

> tiles-r-us.com #1 source for ceramic tile, Floor & Wall Ceramic tile, mosaic ceramic tile, tools fo the professioanl & the do it yourself, do it yourself guide, for a complete guide how to install ceramic tile. and much more
> cwvfbxdjvrmosucbozxwnxudcmjxibogbcwixeqbddqvogvoodrximbtyfggsxofjmkebytgbsmidumsxrnxpyrmy



--
-Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930     Fax 401/884-7950
email randraka@ids.net
http://users.ids.net/~randraka


Article: 17367
Subject: Re: Solaris vs. NT
From: janovetz@uiuc.edu (Jake Janovetz)
Date: 22 Jul 1999 21:36:41 GMT
Links: << >>  << T >>  << A >>
In <7n4j3j$46t$1@info3.fnal.gov> husby@fnal.gov (Don Husby) writes:

>Mark Kinsley <mkinsley@xs4all.nl> wrote:
>> 7. Anything else i should be looking at..
>
>Availability of other NT-based tools like word processors,
>graphics packages, software development tools, and, especially
>for you, newsreaders with spell checkers. :)

LaTeX, GIMP/xfig, GNU C, nn/ispell


>Yes, some of these tools are available for Unix, but generally
>they are better, cheaper, and sooner for Windows.

All the above are free.  Most academics public using LaTeX.
(we can all spot a submitted Word document a mile away.  It looks
like a 5th grader's book report).


   Cheers,
   Jake
--
   janovetz@uiuc.edu    | Once you have flown, you will walk the earth with
 University of Illinois | your eyes turned skyward, for there you have been,
                        | there you long to return.     -- da Vinci
        PP-ASEL         | http://www.ews.uiuc.edu/~janovetz/index.html
Article: 17368
Subject: Re: Solaris vs. NT
From: janovetz@uiuc.edu (Jake Janovetz)
Date: 22 Jul 1999 21:45:55 GMT
Links: << >>  << T >>  << A >>
In <7n7e73$3h8$1@info3.fnal.gov> husby@fnal.gov (Don Husby) writes:
>> Mind you, what I see on the net is that SW developers on Windows quite
>> often use unix tools ported to Windows. Would that be an indication
>> about that better/sooner/cheaper thingy ?
>
>I haven't seen this.  Do you have an example?  Are they porting GNU tools
>to windows because it's a fun game, or are they really using it to develop
>application software.

www.cygnus.com

   They port them to Windows because many engineers are unfortunately
forced to used the OS by their managers and by other software 
availability.  But, the GNU tools are very elegant and portable.
Not to mention the wide array of tools that are buildable with GNU
toolchains.  RTEMS (www.oarcorp.com) is one product buildable with GNU
tools.  There are _many_ others.

   The most unfortunate thing about Windows tools and the reason I
don't use them is that the visual environments lock you in.  They
encapsulate your project so well that it cannot be developed from
remote machines or with other tools.  Something I build in Borland
has Borland project files, Borland makefiles, etc.  I cannot easily
move it to IBM VisualAge or something else.

   On the other hand, GNU runs _everywhere_!!!  I can take code on
Solaris and easily port it to HP-UX, Linux, etc.  (``easily'' is
used loosely here -- easier than some Borland project).

   But then again, that's part of the goal.  Borland doesn't want
you to use IBM VisualAge, so they hide everything so deep that you
never get a usable Makefile.  For "helloworld.c" you get some 
obfuscated 3000 line Makefile that would never run anywhere else.
Not to mention 2 megabytes of project organization.


   Cheers,
   Jake

--
   janovetz@uiuc.edu    | Once you have flown, you will walk the earth with
 University of Illinois | your eyes turned skyward, for there you have been,
                        | there you long to return.     -- da Vinci
        PP-ASEL         | http://www.ews.uiuc.edu/~janovetz/index.html
Article: 17369
Subject: Embedded RAM in Virtex Chips
From: "Adam J. Elbirt" <aelbirt@nac.net>
Date: Thu, 22 Jul 1999 19:14:03 -0400
Links: << >>  << T >>  << A >>
Has anyone out there used the Virtex embedded RAM and asynchronous ROM
and if so what success/problems have you run into?

Thanks!

Adam

--
"Sometimes I think the surest sign that there's intelligent life on
other planets is that none of it has tried to contact us."
                                      - Calvin, "Calvin and Hobbes"


Article: 17370
Subject: Re: Solaris vs. NT
From: rk <stellare@NOSPAM.erols.com>
Date: Thu, 22 Jul 1999 21:21:27 -0400
Links: << >>  << T >>  << A >>
Don Husby wrote:

> Zoltan Kocsi <root@127.0.0.1> wrote:
> > While I would not dare to enter the "which wordprocessor is better"
> > arena, (even though I used some on unix when NT wasn't even dreamt of)
>
> As did I.  And sometimes, when I'm forced to enter the Unix domain and
> use one of those editors, I realize that I can write an entire 12-page
> document with diagrams and color pictures using Microsoft Word in the
> time it takes me to remember what the proper key combination is to exit
> and save a text file from a Unix editor.
> And give me Word any day over trying to hack runoff or LaTex.

while i curse word relatively frequently, i think it is sort of the right idea,
but the execution needs some help.  it's a bit quirky at times, especially with
figure placement, and crashes too much.  i wish they would stop adding features
like smart paperclips and make it more solid.  on the other hand, even with the
bugs and warts, i prefer it to latex by a lot.  it also has the feature of
being rather standard, making it easy to pass and collaborate on documents.  i
realize other programs can do this too, but this seems by far to be the most
popular.

-------------------------------------------------------

> > I'd be rather interested in the SW development tools which are better,
> > cheaper and sooner on Windows than on unix.
>
>   A visual C++ development environment is much better than any Unix
> environment I've ever used.  The visual C++ debugger is quite pleasant.
> Integrated object browsers, documentation, and resource editors make
> it almost too easy to develop (windows-based) software.  I've struggled
> with Unix debuggers, but never found them easier to use than just putting
> printf's in my code.

agreed.  i worked on the unix systems with c, although it was a number of years
ago ... and i find that the delphi system (pascal + graphical programming
stuff) is far easier to use and more productive than unix.  of course, i do
small projects, usually 2-3 people.  i understand for very large products, the
unix tools for things like configuration management are better.

rk


Article: 17371
Subject: PCI Controller chip Announcement
From: "Khan Kibria" <kkibria@iss-us.com>
Date: Thu, 22 Jul 1999 19:59:00 -0700
Links: << >>  << T >>  << A >>
Dear Colleges:

Interconnect Systems Solution is announcing the availability of PCI-ISA-001,
a low cost PCI controller chip targeted for migrating cost sensitive ISA
adapter cards into PCI architecture. Please contact me for the Data-sheet
and the Samples.

The features of this chip are,

- PCI interface

   o 3.3.v PCI compliant I/O.

   o 5v tolerant PCI I/O.

   o 32–bit 33 MHz PCI 2.1 Local Bus.

   o Configuration registers support for maximum of 3 add-in functions.

   o PCI master/target capable.

- ISA like add-on interface

   o 8–bit data, 11–bit address.

   o Interface supports full DMA capability consistent with ISA DMA
architecture.

   o Independent address mappings of each add-in function within the 11–bit
address space.

- Built in DMA engine

   o Engine can access both I/O and memory space on the PCI side.

   o Engine can access both address mapped and the DMA space in the ISA like
interface.

- 4-pin Serial Non-volatile RAM interface

   o Auto download of Serial E2PROM content at reset time for
personalization.

   o Field upgrade support, In-Circuit Serial E2PROM update can be performed
from the PCI side.


Best regards,

Khan Kibria,
(949)587-0628
email: kkibria@iss-us.com

Postal address:
Interconnect Systems Solution
22691 Lambert Street, Suite 503
Lake Forest, CA 92630, USA.




Article: 17372
Subject: Low Cost latched I/O
From: "James G" <radix@NO-SPAMmediaone.net>
Date: Thu, 22 Jul 1999 22:29:53 -0700
Links: << >>  << T >>  << A >>
Hello,
I have a requirement to expand a 8051 type microprocessor bus
to a minimum of 50 latched I/O pins and up to 144  in some configurations.
When I posted this on comp.arch.embedded one suggestion was to
use the 9572XL from Xilinx.  This chip does seem to be a very good
low cost possibility.
My main requirements are low cost.  The CPLD/FPGA will interface to
an 8 bit bus with a 80 nanosecond cycle time, but if necessary the bus
can be stretched to 1,000 nanoseconds (EZ-USB).  I prefer 3.3V if it
is TTL compatible, but 5V could be used also.  The other requirement
is low power.  I have about 20 mA for the CPLD/FPGA (at
low clock frequency).
Is the 9572XL a good choice?  It comes in a 100 pin package
for about $3 in low quantity.
Thanks for any help.
James



Article: 17373
Subject: Hardware FFT Design?
From: drizzt123@NOSPAM.hotmail.com (Drizzt)
Date: Fri, 23 Jul 1999 05:31:14 GMT
Links: << >>  << T >>  << A >>
Hello,

I am part of a research group at my university and one of the things
that we are tying to do is develop some core designs that can be used
within different xilinx fpga's (4000 and Virtex)...

Currently I am trying to design a hardware FFTand was wondering if
anyone could help me with a general (expandable) hardware design?  I
have been able to find a lot of stuff on software algorithms, but
there is very little about how to implement this in hardware... 

Thanks,
Dennis
Article: 17374
Subject: Re: PCI Controller chip Announcement
From: chris pitzel <chris.pitzel@nospam.usask.ca>
Date: 23 Jul 1999 07:22:21 GMT
Links: << >>  << T >>  << A >>
>Interconnect Systems Solution is announcing the availability of PCI-ISA-001,
>a low cost PCI controller chip targeted for migrating cost sensitive ISA
>adapter cards into PCI architecture. Please contact me for the Data-sheet
>and the Samples.

Why not put all this information on a website in .pdf or .ps format, and
include your contact information?  An isolated newsgroup post or two is
*not* going to sell your product to those who need it the most (and
probably don't have time to read the groups).


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search