Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 97100

Article: 97100
Subject: Re: VHDL or verilog
From: Dominik Froehlich <dfroehli@htwm.de>
Date: Thu, 16 Feb 2006 16:59:59 +0100
Links: << >>  << T >>  << A >>
hi,

i find "Digital Systems Design with VHDL and Synthesis" by K.C. Cheng a very 
worthwile and informing book. as suggested by the title it focuses on the 
synthesis aspect.

dominik

CMOS wrote:
> hi,
> ive completed a introductory book on vhdl, but not mature enough to do
> a real world complex designs using vhdl. i've been serching for
> tutorial guids to learn advanced vhdl, preferebly with case studies,
> but found non. most of the books on vhdl are introductory level. Some
> advanced vhdl books such as "The Designer's Guide to VHDL" does not
> consider sysnthesis aspect much. beacause of this lack of resources, im
> planning to shift from VHDL to verilog. The book "Advanced Digital
> Design with the Verilog"  seems to cover what im looking for and has a
> good rating with amazon.
> 
> i need to know whther there are books such as this one for vhdl
> language.
> and a little comparison between vhdl and verilog based on the
> popularity for developing advanced deigital systems and support given
> by various software tools ( such as xilinx tools, modelSIM, etc)
> 
> and any comments on the verilog book im talking about.
> 
> thank you
> 

Article: 97101
Subject: Re: VHDL or verilog
From: Joseph Samson <user@example.net>
Date: Thu, 16 Feb 2006 16:08:36 GMT
Links: << >>  << T >>  << A >>
CMOS wrote:
> hi,
> ive completed a introductory book on vhdl, but not mature enough to do
> a real world complex designs using vhdl. i've been serching for
> tutorial guids to learn advanced vhdl, preferebly with case studies,
> but found non. 

If you can find it, "HDL Chip Design" by Douglas J Smith (Doone 
Publications ISBN 0-9651934-3-8) gives examples of synthesizable code in 
Verilog and VHDL side by side. Unfortunately, the website www.doone.com 
no longer exists. Maybe it is available at a used book source.


---
Joe Samson
Pixel Velocity

Article: 97102
Subject: Re: VHDL or verilog
From: Mike Harrison <mike@whitewing.co.uk>
Date: Thu, 16 Feb 2006 16:20:31 GMT
Links: << >>  << T >>  << A >>
On 16 Feb 2006 07:47:53 -0800, "CMOS" <manusha@millenniumit.com> wrote:

>hi,
>ive completed a introductory book on vhdl, but not mature enough to do
>a real world complex designs using vhdl. i've been serching for
>tutorial guids to learn advanced vhdl, preferebly with case studies,
>but found non. most of the books on vhdl are introductory level. Some
>advanced vhdl books such as "The Designer's Guide to VHDL" does not
>consider sysnthesis aspect much. beacause of this lack of resources, im
>planning to shift from VHDL to verilog. The book "Advanced Digital
>Design with the Verilog"  seems to cover what im looking for and has a
>good rating with amazon.
>
>i need to know whther there are books such as this one for vhdl
>language.
>and a little comparison between vhdl and verilog based on the
>popularity for developing advanced deigital systems and support given
>by various software tools ( such as xilinx tools, modelSIM, etc)
>
>and any comments on the verilog book im talking about.
>
>thank you

If you'll be targetting FPGA/CPLDs, I'd reccommend "VHDL for Programmable Logic" by Kevin Skahill

Article: 97103
Subject: Re: CPLD-SPI_flash configuration system problem.
From: "Gabor" <gabor@alacron.com>
Date: 16 Feb 2006 09:03:08 -0800
Links: << >>  << T >>  << A >>

mughat wrote:
> I have a problem width my CPLD-SPI_flash configuration system.
>
> I have made a configuration interface for my Spartan 3 FPGA involving a CPLD
> (CoolRunner 2) and SPI flash (M25P32).
>
> My FPGA is set up to serial master configuration mode. The FPGA is
> generating the clock for the CPLD and the CPLD transfers the data from the
> SPI flash to the DIN pin on the FPGA. I use the application notes and source
> code xapp800 from Xilinx.
>
> I monitor the CPLD and it steps through states (1-4):
> 1 STATE_RESET
> 2 LOAD_READ_OPCODE
> 3 LOAD_READ_ADDRESS
> 4 READ_DATA
> 5 WAIT_STATE
>
> The CPLD stays in state 4 where it waits for the FPGA to indicate
> configuration done by pulling the DONE pin high.
>
> The hardware seams to work. I can see the data on the DIN pin of the FPGA
> but the FPGA never indicates configuration done by pulling the DONE pin
> high.
>
> I think the problem may be the process of converting the .bit file to a
> format that can be written to the serial flash.
>
> Anyone that have any suggestions on how to find the problem?

The first thing I always check is the bit order within bytes.  Make
sure the SPI isn't swapping your bits, or try swapping bits when you
create the SPI flash image.

> 
> Andreas Beier
> Computer Systems Engineer


Article: 97104
Subject: Re: VHDL or verilog
From: "Andy Peters" <Bassman59a@yahoo.com>
Date: 16 Feb 2006 09:33:41 -0800
Links: << >>  << T >>  << A >>
Mike Harrison wrote:

> If you'll be targetting FPGA/CPLDs, I'd reccommend "VHDL for Programmable Logic" by Kevin Skahill

That book is seriously outdated!

-a


Article: 97105
Subject: Implementing a two-modulus PLL divider in Altera Stratix II
From: n06W07+mgk25@cl.cam.ac.uk (Markus Kuhn)
Date: 16 Feb 2006 17:37:14 GMT
Links: << >>  << T >>  << A >>
I am trying to use one of the enhanced PLLs in an Altera
Stratix II (EP2S60F1020C4) on the Altera DSP Development board
in order to synthesize from a 100 MHz input clock frequencies
new clock frequencies in the range 20-150 MHz. The critical
bit is: I need a frequency resolution of not more than 0.01 Hz,
which is obviously not feasible with the built-in 9-bit PLL
dividers.

I am therefore trying to build a so-called "two-modulus prescaler"
PLL. In other words, I would like to use the FPGA's internal logic
in order to build a frequency divider that toggles between
division by N and division by N-1. By adjusting the duty cycle
of the divider toggling signal, I should be able to achieve
output frequencies corresponding to high-resolution factional
frequency multiplication factors. The toggling frequency
should obviously be well above the PLL's loop filter bandwidth.
I believe I can achieve the required divisor resolution with
32-bit counters.

Question:

- Has anyone here already done something similar and may be
  able to offer advice?

- I need to feed the fbin (feed-back input) pin of the PLL from
  the internal logic (i.e., the output of my Verilog-implemented
  two-modulus divider).

  Is that possible at all in Stratix II without an external
  loop-back connection in hte PCB layout?

  In other words, can the PLL feed-back input be driven by the
  internal logic, or are these only connected via a multiplexer
  to either the PLL phase detector or (exclusive or) the internal
  logic?

- If an external feed-back connection is necessary, is there
  any better or more elegant solution than using a jumper on
  one of the extension prototype connectors of the
  Stratix II EP2S60 DSP development board?

Any other suggestions for building such a high-resolution
frequency synthesizer from a Stratix II are of course also
welcome.

Thanks for any hints!

Markus

-- 
Markus Kuhn, Computer Laboratory, University of Cambridge
http://www.cl.cam.ac.uk/~mgk25/ || CB3 0FD, Great Britain

Article: 97106
Subject: Re: VHDL or verilog
From: Josh Rosen <bjrosen@polybusPleaseDontSPAMme.com>
Date: Thu, 16 Feb 2006 12:42:18 -0500
Links: << >>  << T >>  << A >>
On Thu, 16 Feb 2006 07:47:53 -0800, CMOS wrote:

> hi,
> ive completed a introductory book on vhdl, but not mature enough to do
> a real world complex designs using vhdl. i've been serching for
> tutorial guids to learn advanced vhdl, preferebly with case studies,
> but found non. most of the books on vhdl are introductory level. Some
> advanced vhdl books such as "The Designer's Guide to VHDL" does not
> consider sysnthesis aspect much. beacause of this lack of resources, im
> planning to shift from VHDL to verilog. The book "Advanced Digital
> Design with the Verilog"  seems to cover what im looking for and has a
> good rating with amazon.
> 
> i need to know whther there are books such as this one for vhdl
> language.
> and a little comparison between vhdl and verilog based on the
> popularity for developing advanced deigital systems and support given
> by various software tools ( such as xilinx tools, modelSIM, etc)
> 
> and any comments on the verilog book im talking about.
> 
> thank you

For a Verilog primer try The Verilog Hardware Description Language by
Thomas & Moorby. 

For synthesis examples I'd suggest the your download the XST users manual
from the Xilinx site. The XST manual gives you side by side examples of
Verilog and VHDL for each type of component. Synplicity has a user's guide
for Synplify that does the same thing. You should also be able to find a
guide for Quartus's synthesis tool on the Altera site. The synthesis tool
user's guides are by far the best way to learn the right syntax for an HDL
language because they describe exactly what these tools require in order
to recognize specific types of components. Text books are generic, they
are fine for learning the basic language and for learning how to write
behavioral code but they aren't going to be able to tell you what
structures the latest synthesis tools can recognize, only the users guides
can tell you that.



Article: 97107
Subject: Re: Implementing a two-modulus PLL divider in Altera Stratix II
From: "Peter Alfke" <peter@xilinx.com>
Date: 16 Feb 2006 09:50:53 -0800
Links: << >>  << T >>  << A >>
Markus, I do not know much about Stratix PLLs   ;-)
But the traditional way to implement your function is called Direct
Digital Synthesis (DDS) aka Phase Accumulation.
You build a long ( 34 bit?) accumulator and clock it at your 100 MHz
(200 MHz would be better). The MSB is your output, and it easily has
the requested frequency resolution. It also has a max jitter of one
clock period (10 ns or 5 ns). If that is too much, you can use the PLL
to reduce it.
That's what I would do. Since the PLL's filter does not cover 0.01 Hz,
you will have some frequency wander, but that is unavoidable in your
case.
If anybody knows a trick to avoid it or reduce it, I am all ears...
Peter Alfke, Xilinx


Article: 97108
Subject: Re: WebPACK license (and Quartus Web Edition too).
From: Ed McGettigan <ed.mcgettigan@xilinx.com>
Date: Thu, 16 Feb 2006 09:51:31 -0800
Links: << >>  << T >>  << A >>
Alex K wrote:
> One more question ^) Does it allowed to use (for private person or organization)Xilinx ISE WebPack for developing commercial projects ?

Yes.

Ed

Article: 97109
Subject: Re: VHDL or verilog
From: Dominik Froehlich <dfroehli@htwm.de>
Date: Thu, 16 Feb 2006 19:30:29 +0100
Links: << >>  << T >>  << A >>
there is also a guide called "actel hdl coding" that you can download from the 
actel site. it covers both verilog and vhdl

Article: 97110
Subject: Re: Implementing a two-modulus PLL divider in Altera Stratix II
From: austin <austin@xilinx.com>
Date: Thu, 16 Feb 2006 10:33:07 -0800
Links: << >>  << T >>  << A >>
Peter,

I used a 48 bit DDS to create a clock for telecommunications that was 
accurate to 3.5E-15.

The occasional missing clock pulse is the only issue, as that is 
instantaeneous jitter.

Either the output bits of the DDS can feed a sine look up ROM to a D/A, 
and/or you can band pass filter the clock output from the msb - or the 
D/A, or you can drive the input of a PLL with the MSB, which is in 
effect a band pass filter.

Austin

Peter Alfke wrote:

> Markus, I do not know much about Stratix PLLs   ;-)
> But the traditional way to implement your function is called Direct
> Digital Synthesis (DDS) aka Phase Accumulation.
> You build a long ( 34 bit?) accumulator and clock it at your 100 MHz
> (200 MHz would be better). The MSB is your output, and it easily has
> the requested frequency resolution. It also has a max jitter of one
> clock period (10 ns or 5 ns). If that is too much, you can use the PLL
> to reduce it.
> That's what I would do. Since the PLL's filter does not cover 0.01 Hz,
> you will have some frequency wander, but that is unavoidable in your
> case.
> If anybody knows a trick to avoid it or reduce it, I am all ears...
> Peter Alfke, Xilinx
> 

Article: 97111
Subject: Re: DDR SDRAM Controller
From: "ada" <annedorianashley@googlemail.com>
Date: Thu, 16 Feb 2006 12:56:16 -0600
Links: << >>  << T >>  << A >>

>The first thing I would check is your clock distribution.  Make sure
>the clock
>to the DIMM and the FPGA have the same phase.  Use a scope.  Chipscope
>is O.K. for FPGA internals debug, but a real oscilloscope is the only
>way
>to make sure your signals reach the external parts as expected.
>
>Also make sure your FPGA pinout matches the Avnet board.  Are you
>using a UCF file provided with the board?
>
>Also, the Micron models don't all check the initialization sequence
>required
>to start up the memory.  Be sure your code provides the correct warm
>up period before your initial SDRAM access.  This is especially
>important
>if the FPGA is driving the clock to the SDRAMs.
>

Thanks for your answer. 

As far as I know I can not look at clock signals with Chipscope (I also
can not track DQS signals because they rise only for half a clock period).
I tried to do it and I got warnings from Chipscope. I ignored them but I
could not implement my design (translate or map was failed due to
Chipscope module). After I read somewhere it's impossible with Chipscope.
Am I missing something?

You are right I could not be sure that my signals reached the external
parts as expected and I do not have a real oscilloscope to check it. I
could only hope than it's not a case.

My FPGA pinouts match the Avnet board indeed. Sure I am using a UCF file
provided with the board. I also checked memory initialization sequence
before I posted the first message:) It works as it should according to
Micron's data sheet. 

I am just a bit confused - among all nets in original UCF file I have
CLK_DDR_FB_IN and CLK_DDR_FB_OUT. In my design I am using only
CLK_DDR_FB_IN for giving my feedback signal to DDR. Should I use both? I
do not see any reason for it.

Best, 
 Ada



Article: 97112
Subject: User masks in HardCopy and HardCopy II
From: "Shyam" <shyam.thoziyoor@gmail.com>
Date: 16 Feb 2006 11:50:21 -0800
Links: << >>  << T >>  << A >>
Hi,

I have a few questions regarding user masks in Altera's HardCopy and
HardCopy II structured ASICs. The HardCopy handbook suggests that the
"top two metal layers" are utilized for user customization while the
HardCopy II handbook just suggests that "two metal layers" are utilized
for the same; in case of HardCopy II nowhere is it suggested that the
"top two metal layers" are utilized for customization. Having said that
I have the following questions:

1. Which are the user mask layers in HardCopy and HardCopy II?

2. How many user mask layers are being used exactly? Just two
corresponding to two metal layers or perhaps four including via layers?

3. Is the turnaround time for HardCopy II greater than that of HardCopy
perhaps because user customization has not been deferred to the highest
mask layers and a lower mask layer needs to be used?

Thanks very much.


Article: 97113
Subject: Re: Xilinx 1.5v HSTL-I for QDR-II. Anybody successful with it?
From: "MikeJ" <mikej@fpgaarcade.nospam.com>
Date: Thu, 16 Feb 2006 20:47:22 GMT
Links: << >>  << T >>  << A >>
Ray,

Running at 200MHz DDR (400M bits) I have noticed that there appears to be 
more margin at 1.8V. At 1.5V I start to get bit errors with VCCO under 
1.45V, but at 1.8v I can go +/- 5% in my margin tests. This is probably 
something specific to my board however (2 QDRs, clamshell mounted).

One other thing I have noticed is that I was hoping to produce one bitfile 
which would work at 1.5V or 1.8V HSTL. Sadly, if I instantiate the 1.8V 
drivers and run them at 1.5V I get occasional bit errors during ram tests 
which I don't if I use the correct drivers.  I was a bit surprised as the 
input threshold is surely taken from Vref ? Maybe the drive strength or some 
other param is altered.

Cheers,
MikeJ 



Article: 97114
Subject: Need some Advice, please
From: benkhalh@hotmail.com
Date: 16 Feb 2006 12:55:04 -0800
Links: << >>  << T >>  << A >>
Hi all,

Question : Is my qualifications (see below) enough to find a job to
begin with? or i need something more to know? it have been almost 1and
1/2 year that i am looking for job in Canada and recently in US. All
the job's adds are requiring 5 + years of experience and bunch of
things to know : PCI-express, Ethernet, TCP/IP protocol...etc. This is
very scary. What is your opinnions or advices to me guys.
The most of the jobs on the net for canada are putten by consulting
firm : Intelligent technology solustions, who are seems to be
monopolising the market, I called them at ottawa, they advices me not
to count at all on recruiting agency because i am a new graduat, but
they got all the  jobs i am looking for..!? what to do? Any ideas? any
one knows the how to find a list of Compagnys that are working in this
field of interest so i can send directly a resume to them?

Thanks a lot for anybody how take a minute of his precious time to
write me something back.

Here are my qualifications :
===================
* MSEE with emphasis on Telecom & FPGA design (oct 2004)
* Xilinx Vertex II FPGA experience
* Xilinx ISE tool for Synthesis and P&R
* Mentor Graphics tools : Modelsim, ModelDesigner and HDL designer.
* Matlab & Simulink with Xilinx System Generator toolbox for HW
Co-Simulation
* Good at VHDL coding
* Beginner level in C programming
* Beginner level in TCL/TK programming

Let's rise this issu of unemployment among us as for now the industry
it impoving and the job offers are slightly increasing.

Thanks again


Article: 97115
Subject: Re: Need some Advice, please
From: "Peter Alfke" <peter@xilinx.com>
Date: 16 Feb 2006 13:28:08 -0800
Links: << >>  << T >>  << A >>
I think the employment picture is improving, and companies actually
like to hire New College Grads. You are a notch above that, with an
MSEE. Good luck!
One advice:
Make sure that your resume is free of typos. You had nine of them in
your short posting, and you better be more careful in a real
application. Which I am sure you will be.
To many potential employers, a typo indicates lack of seriousness or
attention to detail. Whether that is justified or not is irrelevant.
Just avoid the potential problem...
Peter Alfke


Article: 97116
Subject: WIFI Compact Flash
From: eziggurat@gmail.com
Date: 16 Feb 2006 14:08:19 -0800
Links: << >>  << T >>  << A >>
Has anybody interface a WIFI compact flash with a FPGA? Could provide
any tips or information in how you did it.

Regards

Paul Lee


Article: 97117
Subject: Re: Need some Advice, please
From: Tim Wescott <tim@seemywebsite.com>
Date: Thu, 16 Feb 2006 14:20:56 -0800
Links: << >>  << T >>  << A >>
benkhalh@hotmail.com wrote:

> Hi all,
> 
> Question : Is my qualifications (see below) enough to find a job to
> begin with? or i need something more to know? it have been almost 1and
> 1/2 year that i am looking for job in Canada and recently in US. All
> the job's adds are requiring 5 + years of experience and bunch of
> things to know : PCI-express, Ethernet, TCP/IP protocol...etc. This is
> very scary. What is your opinnions or advices to me guys.
> The most of the jobs on the net for canada are putten by consulting
> firm : Intelligent technology solustions, who are seems to be
> monopolising the market, I called them at ottawa, they advices me not
> to count at all on recruiting agency because i am a new graduat, but
> they got all the  jobs i am looking for..!? what to do? Any ideas? any
> one knows the how to find a list of Compagnys that are working in this
> field of interest so i can send directly a resume to them?
> 
> Thanks a lot for anybody how take a minute of his precious time to
> write me something back.
> 
> Here are my qualifications :
> ===================
> * MSEE with emphasis on Telecom & FPGA design (oct 2004)
> * Xilinx Vertex II FPGA experience
> * Xilinx ISE tool for Synthesis and P&R
> * Mentor Graphics tools : Modelsim, ModelDesigner and HDL designer.
> * Matlab & Simulink with Xilinx System Generator toolbox for HW
> Co-Simulation
> * Good at VHDL coding
> * Beginner level in C programming
> * Beginner level in TCL/TK programming
> 
> Let's rise this issu of unemployment among us as for now the industry
> it impoving and the job offers are slightly increasing.
> 
> Thanks again
> 
My first job out of school was a with a tiny company run by the brother 
of a friend, nearly six months after I graduated.  I have no idea how 
long it would have been if I didn't have that personal 'in'.  It was 
also the first job that I left after getting into a shouting match with 
my employer, but that was much later.  I was severely overqualified, 
wrenching on PC's with a MSEE.  But it got me the experience to look 
credible for job #2.

My second job was with another tiny company.  No shouting matches, but 
they did run out of money in exactly the way I predicted to my boss. 
Jobs #1 and #2 nearly added up to the magic 5 years.

My third job was with a moderate sized company.  I was there for nearly 
ten years, not counting job number four.

Job #4 was with Intel.  Don't work for Intel, at least not permanently. 
  It's just weird.  No shouting (I guess that's for odd-numbered companies).

Job #5 was back to company #3, after some management issues were taken 
care of.  When the management issues came back I left again.

Now I consult, and number among my customers companies number 1 and 3-5.

My point in this is that you should expect to have it rough for a while 
before you get that first job, and you shouldn't hesitate to take one 
that is 'beneath' you -- many many new college hires can't turn theory 
into practice, so employers are often leery of taking them on.

I have some suggestions:

1.  It's already been said, but pay attention to spelling and grammar. 
If you won't bother to use a spell checker how can I trust you to do 
design rule checks, or otherwise test your code?

2.  Get a copy of "What Color is Your Parachute".  It's really for 
career changers, not new college grads, but it helped me.

2a.  WCIYP will tell you that answering ads in the paper or sending 
resumes randomly is a waste of time.  I got job #2 answering an ad in 
the paper and job #3 from sending random resumes to keep my unemployment 
checks flowing.  You have to send _lots_ of resumes, but it may help.

3.  Don't just apply for the jobs in the paper.  Use the paper as a 
resource to see who's hiring in general, then send in applications for 
your entry-level position as if you never read their add.  If there is a 
high-tech group in your area get a list of member companies and send 
resumes to them all.

3a.  Don't expect anything other than an entry-level job.  I've said it 
elsewhere, but 90% of new college hires are useless.  That gives you a 
90% chance of needing to learn more before you'll make money for 
someone.  If you're in that magic 10% of immediately useful people you 
have a 100% chance of being taken for useless -- unless you have 
something impressive to put on your resume, or can otherwise impress 
folks with your usefulness.

3b.  If you _are_ in that magic 10%, and you promote yourself well 
you'll find yourself advancing fast.

4.  See what IEEE groups are meeting in your area, and start going to 
the meetings of any groups that relate to your skills or interests.  Ask 
questions in the technical sessions.  If they're good ones you will get 
positive attention.  If there's something you can present, for heavens 
sake do it!  If there are any chances to introduce yourself make sure to 
say you're looking.

5.  If there are any local universities in your area that have night 
classes for working engineers think of how you want to expand your 
education and _go_.  Make sure people there know that you're looking for 
a job.

6.  DO SOMETHING REAL.  If you made something work for your senior 
project make sure it's featured in your resume.  If you didn't then 
invest a couple of hundred bucks in an eval board and make some gizmo. 
It would be best if it's something that you can write up and publish in 
Circuit Cellar or QST, but even something that you can show off on a web 
page will be a GOOD THING.

-- 

Tim Wescott
Wescott Design Services
http://www.wescottdesign.com

Posting from Google?  See http://cfaj.freeshell.org/google/

Article: 97118
Subject: state-of-the-art schematic generation? [Was: SCHEMATICS ... ]
From: Adam Megacz <megacz@cs.berkeley.edu>
Date: Thu, 16 Feb 2006 14:36:27 -0800
Links: << >>  << T >>  << A >>

Might be OT, but I'm interested in any pointers to the current
state-of-the-art in automatic schematic generation.  By this I mean: I
give it a netlist, it draws what it thinks is a "pretty" schematic for
some heuristic definition of "pretty".  Even if "pretty" means "just
barely not hideously ugly".

In the area of mathematical graphs (spline edges, no 90-degree
requirements -- a very different problem) GraphViz is sort of the
"reference point" from which everybody else makes improvements:

  http://www.graphviz.org/

... I'm looking for some similar analogous feature-point for
schematics rather than general directed graphs.  And yes, I know this
will never be as good as human-drawn schematics.

  - a


Mark McDougall <markm@vl.com.au> writes:
> richard wrote:
>
>> So, are any of you guys seeing the same sorts of problems?  Doesn't
>> it make sense to have a half-hour review of a schematic rather than a
>>  week-long review of a ream of HDL listings?
>
> I was using schematic entry for as much of my design work as possible
> a year or two ago, much to my colleague's dismay!
>
> (I must admit, finding and placing bus joiners and creating new nets
> just to wire up a component that would be 2 lines of VHDL was
> beginning to annoy me.)
>
> However, since then I've been doing a lot more HDL work and have
> actually gone back and converted all my early schematics to VHDL! I'm
> now a "born-again" HDL'ian and find it so much quicker and more
> convenient to develop, maintain, re-use and *PORT* HDL code - not to
> mention source control is a lot nicer/easier now. My testbenches are
> all written in HDL as well now (mainly Verilog).
>
> FWIW, I'd never return to schematics. Yes, top level can be nice as
> Mike pointed out, but I find the disadvantages - slow, buggy tools,
> cumbersome maintenance, no portability - far outweigh the benefits.
>
> Regards,
> Mark

-- 
PGP/GPG: 5C9F F366 C9CF 2145 E770  B1B8 EFB1 462D A146 C380

Article: 97119
Subject: Re: WIFI Compact Flash
From: Mike Harrison <mike@whitewing.co.uk>
Date: Thu, 16 Feb 2006 22:40:34 GMT
Links: << >>  << T >>  << A >>
On 16 Feb 2006 14:08:19 -0800, eziggurat@gmail.com wrote:

>Has anybody interface a WIFI compact flash with a FPGA? Could provide
>any tips or information in how you did it.
>
>Regards
>
>Paul Lee

Take a look at the 'airdrop' board here : 
http://www.edtp.com/
could be worth buying one to get the interfacing  info from the drivers..

Article: 97120
Subject: Xilinx EDK GPIO: Can I drive internal logic with it?
From: "MM" <mbmsv@yahoo.com>
Date: Thu, 16 Feb 2006 18:00:24 -0500
Links: << >>  << T >>  << A >>
Hi all,

I have an OPB_GPIO module configured as all outputs. It is a part of an EDK
system which is instantiated as a module in a top-level ISE project. I am
using d_outs from the GPIO module. When I tried inserting some logic between
these outputs and the top level pins I got the following error:

ERROR:NgdBuild:809 - output pad net 'gpio_leds<4>' has an illegal load:
pin I2 on block _n00141 with type LUT3

So, does that mean that I can't drive any internal logic with GPIO outputs?

Thanks,
/Mikhail



Article: 97121
Subject: Re: VHDL or verilog
From: eziggurat@gmail.com
Date: 16 Feb 2006 15:01:42 -0800
Links: << >>  << T >>  << A >>
Have you tried DSP with FPGA by Meyer. That gives a good introduction
in VHDL DSP design.

Paul


Article: 97122
Subject: opencores.org ?
From: pbdelete@spamnuke.ludd.luthdelete.se.invalid
Date: 16 Feb 2006 23:05:37 GMT
Links: << >>  << T >>  << A >>
Anyone know what happened to opencores.org ..?

The last trail is 2005-May.


Article: 97123
Subject: Re: state-of-the-art schematic generation? [Was: SCHEMATICS ... ]
From: Bob Perlman <bobsrefusebin@hotmail.com>
Date: Thu, 16 Feb 2006 16:00:01 -0800
Links: << >>  << T >>  << A >>
Hi - 

I don't have an answer for you, but did want to thank you for posting
the link to graphviz.  It may be the solution to a problem I've been
trying to solve for a long time.

Thanks,
Bob Perlman
Cambrian Design Works

On Thu, 16 Feb 2006 14:36:27 -0800, Adam Megacz
<megacz@cs.berkeley.edu> wrote:

>
>Might be OT, but I'm interested in any pointers to the current
>state-of-the-art in automatic schematic generation.  By this I mean: I
>give it a netlist, it draws what it thinks is a "pretty" schematic for
>some heuristic definition of "pretty".  Even if "pretty" means "just
>barely not hideously ugly".
>
>In the area of mathematical graphs (spline edges, no 90-degree
>requirements -- a very different problem) GraphViz is sort of the
>"reference point" from which everybody else makes improvements:
>
>  http://www.graphviz.org/
>
>... I'm looking for some similar analogous feature-point for
>schematics rather than general directed graphs.  And yes, I know this
>will never be as good as human-drawn schematics.
>
>  - a
>
>
>Mark McDougall <markm@vl.com.au> writes:
>> richard wrote:
>>
>>> So, are any of you guys seeing the same sorts of problems?  Doesn't
>>> it make sense to have a half-hour review of a schematic rather than a
>>>  week-long review of a ream of HDL listings?
>>
>> I was using schematic entry for as much of my design work as possible
>> a year or two ago, much to my colleague's dismay!
>>
>> (I must admit, finding and placing bus joiners and creating new nets
>> just to wire up a component that would be 2 lines of VHDL was
>> beginning to annoy me.)
>>
>> However, since then I've been doing a lot more HDL work and have
>> actually gone back and converted all my early schematics to VHDL! I'm
>> now a "born-again" HDL'ian and find it so much quicker and more
>> convenient to develop, maintain, re-use and *PORT* HDL code - not to
>> mention source control is a lot nicer/easier now. My testbenches are
>> all written in HDL as well now (mainly Verilog).
>>
>> FWIW, I'd never return to schematics. Yes, top level can be nice as
>> Mike pointed out, but I find the disadvantages - slow, buggy tools,
>> cumbersome maintenance, no portability - far outweigh the benefits.
>>
>> Regards,
>> Mark

Article: 97124
Subject: Re: Need some Advice, please
From: "Isaac Bosompem" <x86asm@gmail.com>
Date: 16 Feb 2006 17:47:36 -0800
Links: << >>  << T >>  << A >>

benkhalh@hotmail.com wrote:
> Hi all,
>
> Question : Is my qualifications (see below) enough to find a job to
> begin with? or i need something more to know? it have been almost 1and
> 1/2 year that i am looking for job in Canada and recently in US. All
> the job's adds are requiring 5 + years of experience and bunch of
> things to know : PCI-express, Ethernet, TCP/IP protocol...etc. This is
> very scary. What is your opinnions or advices to me guys.
> The most of the jobs on the net for canada are putten by consulting
> firm : Intelligent technology solustions, who are seems to be
> monopolising the market, I called them at ottawa, they advices me not
> to count at all on recruiting agency because i am a new graduat, but
> they got all the  jobs i am looking for..!? what to do? Any ideas? any
> one knows the how to find a list of Compagnys that are working in this
> field of interest so i can send directly a resume to them?
>
> Thanks a lot for anybody how take a minute of his precious time to
> write me something back.
>
> Here are my qualifications :
> ===================
> * MSEE with emphasis on Telecom & FPGA design (oct 2004)
> * Xilinx Vertex II FPGA experience
> * Xilinx ISE tool for Synthesis and P&R
> * Mentor Graphics tools : Modelsim, ModelDesigner and HDL designer.
> * Matlab & Simulink with Xilinx System Generator toolbox for HW
> Co-Simulation
> * Good at VHDL coding
> * Beginner level in C programming
> * Beginner level in TCL/TK programming
>
> Let's rise this issu of unemployment among us as for now the industry
> it impoving and the job offers are slightly increasing.
>
> Thanks again

Wow,

I live in Toronto in Canada and am doing my BSEE, if you have not found
a job then I should start to panic.

I've always heard about people heading down south to the US
(particularly Silicon Valley) for employment in the tech industry. One
of my uncle's did that and is happily employed. You may want to
consider that as an option.




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search