Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 95325

Article: 95325
Subject: Re: OT:Shooting Ourselves in the Foot
From: Chris Hills <chris@phaedsys.org>
Date: Sun, 22 Jan 2006 15:31:39 +0000
Links: << >>  << T >>  << A >>
In article <1%MAf.8496$1J1.6215@tornado.texas.rr.com>, Bryan Hackney
<no@body.home> writes
>Chris Hills wrote:
>> In article <SeFAf.29814$SD1.13573@tornado.texas.rr.com>, Bryan Hackney
>> <no@body.home> writes
>> PE or C.Eng etc does not mean qualified to do it al but it means
>> qualified in certain areas and professional enough not to try and do
>> other stuff. SO a HW PE will not attempt any critical Sw 
>
>I'm unaware of digital design and software being areas of expertise
>where a PE can be registered in Texas. Maybe that's changed, but I
>doubt it. So that point is probably moot.

I think embedded Sw can be. Some one else here should know..

>> BTW Bryan could you design those systems and be sure the were safe and
>> up to al the legal requirements? 
>> 
>Maybe. I've never worked in those areas, but I'm more familiar with
>processes where designs and implementaions are verified, not those
>processes where the designer is certified and the product is not.

In large systems often the process has to be certified. Also the
designers have to be. The product is also tested.  

>Sometimes this makes sense. It's hard to non-destructively test
>a bridge's strength - it must be right the first time. A complicatated
>system is never right the first time.

A bridge or a building is a complicated system.  However the rate of
change in civil engineering is  not the same as in electronics. 

however the PE or C.Eng is a step in the right direction. Not perfect
but the right direction. And I am sure many of use can contrive a
situation where it might not be the solution. But if it is over say 80%
effective that is a hell of a lot better than now. 


-- 
\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\
\/\/\/\/\ Chris Hills  Staffs  England     /\/\/\/\/
/\/\/ chris@phaedsys.org      www.phaedsys.org \/\/\
\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\/




Article: 95326
Subject: Re: OT:Shooting Ourselves in the Foot
From: "SS" <satpreetsingh@gmail.com>
Date: 22 Jan 2006 07:47:20 -0800
Links: << >>  << T >>  << A >>
I'm from India and I think that this thread is rather interesting...

Chris.Gammell@gmail.com wrote:
> I think the interesting thing in all of this is that the predominate
> language still used is English. I think that speaks volumes to the
> future of the industries. Until we have to start learning Mandarin or
> Hindi, we're in good shape.

I don't think you ever will need to - Indian engineers predominantly
'choose' to speak in English. However, the same cant be said for
whether they 'think' in English. I do, though :-)


Article: 95327
Subject: Re: OT:Shooting Ourselves in the Foot
From: mojaveg@mojaveg.iwvisp.com (Everett M. Greene)
Date: Sun, 22 Jan 2006 08:02:38 PST
Links: << >>  << T >>  << A >>
Pooh Bear <rabbitsfriendsandrelations@hotmail.com> writes:
> "Everett M. Greene" wrote:
> > Jim Thompson <To-Email-Use-The-Envelope-Icon@My-Web-Site.com> writes:
> >
> > > You know not of what you speak.  The breakfast buffet at the hotel was
> > > fabulous!  In fact all the inns in Bühlertal had great breakfasts.
> >
> > When I was there, the Nazi-era laws forbade anyone starting
> > work early enough to get the makings for a good breakfast
> > prepared.
> 
> Could you elaborate on these " Nazi-era laws " ?

No.

It was simply what I heard or read as being the reason why
the first breakfast available at hotels was so limited.

Article: 95328
Subject: The attributes specified to DCM instance doesnot get written to the .vm file
From: "Sudhir Shetty" <sudhirshettyk@gmail.com>
Date: 22 Jan 2006 08:03:53 -0800
Links: << >>  << T >>  << A >>
Hi ,
I create a instance of DCM as follows :

DCM i_DCM (...
        ...
        ...
        ) /* synthesis xc_props ="DLL_FREQUENCY_MODE =
LOW,DUTY_CYCLE_CORRECTION = TRUE, <more parameters > */; //note this
directive is on a single line without line-breaks


But I see that in the post-synthesis .vm file there is no defparams
added for these attributes.

Please suggest,


Thanks,
Sudhir


Article: 95329
Subject: Re: Virtual Pin in Xilinx ISE
From: Ray Andraka <ray@andraka.com>
Date: Sun, 22 Jan 2006 11:14:00 -0500
Links: << >>  << T >>  << A >>
Brian Drummond wrote:
> On Fri, 20 Jan 2006 23:41:47 -0800, "Jeremy" <jeremy.lees@hotmail.com>


If you are using a package that has some unbonded pads on the die, you 
can assign your virtual pin to an unbonded pad

Article: 95330
Subject: Re: OT:Shooting Ourselves in the Foot
From: Fred Bloggs <nospam@nospam.com>
Date: Sun, 22 Jan 2006 16:20:04 GMT
Links: << >>  << T >>  << A >>


SioL wrote:
> "Fred Bloggs" <nospam@nospam.com> wrote in message
> 
> 
>>SioL wrote:
>>
>>>Slovenia is the only country from the Ex-Yugoslavia inside EU at the moment
>>>and as such the only one you can use in your comparisons, we were talking about EU.
>>>The only war that took place here lasted 10 days, yet you can hardly call that a war.
>>
>>Easy for you to say since you weren't in it...
> 
> 
> I haven't been fighting, that much is true. 19 died on slovenian side. That many
> die in road accidents in a similar period of time. Traffic was mostly suspended
> during these 10-days.
> 
> 
>>>Here is the full "War" story: http://www.uvi.si/10years/path/war/
>>
> 
>>Heheh- typical revision by a Slovenian military historian. The few pictures suggest that the terrain was absolutely *perfect* to 
>>stop any and all YPA tank and armored vehicle advances and kill the occupants, but I guess the TD was shy on explosives so 
>>barricades and indirect 82mm mortar fire had to be it. I am not all that familiar with the geography and situation there. Were the 
>>YPA already stationed in barracks throughout the country? The RS strategy does seem to have been a brilliantly conceived and 
>>executed design, that always helps to make the a war short and sweet.
> 
> 
> Ah, yeah, it was written by a military man, it sounds a bit overly dramatized.
> The reality was the YPA had no idea what to do. Most of YPA forces were
> scared young boys from obligatory 1-year service, from different parts of the
> country, including Slovenia. Most had no idea what the hell was going on and
> many fled the first chance they got. They were stationed in barracks around the
> country.
> 
> We got out easy.
> 
> SioL 
> 
> 

I thought something sounded fishy about that "war."


Article: 95331
Subject: Re: OT:Shooting Ourselves in the Foot
From: Pooh Bear <rabbitsfriendsandrelations@hotmail.com>
Date: Sun, 22 Jan 2006 16:31:57 +0000
Links: << >>  << T >>  << A >>


SS wrote:

> I'm from India and I think that this thread is rather interesting...
>
> Chris.Gammell@gmail.com wrote:
> > I think the interesting thing in all of this is that the predominate
> > language still used is English. I think that speaks volumes to the
> > future of the industries. Until we have to start learning Mandarin or
> > Hindi, we're in good shape.
>
> I don't think you ever will need to - Indian engineers predominantly
> 'choose' to speak in English. However, the same cant be said for
> whether they 'think' in English. I do, though :-)

Possibly some posters are unaware that English is an official Indian
language. Indeed, along with Hindi those 2 are the only Indian languages
that aren't regional.

Graham



Article: 95332
Subject: Re: OT:Shooting Ourselves in the Foot
From: Pooh Bear <rabbitsfriendsandrelations@hotmail.com>
Date: Sun, 22 Jan 2006 16:32:50 +0000
Links: << >>  << T >>  << A >>


"Everett M. Greene" wrote:

> Pooh Bear <rabbitsfriendsandrelations@hotmail.com> writes:
> > "Everett M. Greene" wrote:
> > > Jim Thompson <To-Email-Use-The-Envelope-Icon@My-Web-Site.com> writes:
> > >
> > > > You know not of what you speak.  The breakfast buffet at the hotel was
> > > > fabulous!  In fact all the inns in Bühlertal had great breakfasts.
> > >
> > > When I was there, the Nazi-era laws forbade anyone starting
> > > work early enough to get the makings for a good breakfast
> > > prepared.
> >
> > Could you elaborate on these " Nazi-era laws " ?
>
> No.
>
> It was simply what I heard or read as being the reason why
> the first breakfast available at hotels was so limited.

Someone was pulling your leg.

Graham



Article: 95333
Subject: Re: How in Design Compiler disable writing out "Assign" statement
From: Jerry Avins <jya@ieee.org>
Date: Sun, 22 Jan 2006 11:36:23 -0500
Links: << >>  << T >>  << A >>
Ajeetha wrote:
> Frank,
>      See:
> 
> http://www.deepchip.com/posts/0184.html
> 
> HTH
> Ajeetha
> www.noveldv.com

I love this quote: "It's always been my dream to give my customers a 
choice between ViewLogic & ViewLogic." That's a nice perch to sit on!

Jerry
-- 
Engineering is the art of making what you want from things you can get.
¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯

Article: 95334
Subject: Re: OT:Shooting Ourselves in the Foot
From: John Larkin <jjlarkin@highNOTlandTHIStechnologyPART.com>
Date: Sun, 22 Jan 2006 08:45:46 -0800
Links: << >>  << T >>  << A >>
On Sun, 22 Jan 2006 00:10:34 -0700, "David M. Palmer"
<dmpalmer@email.com> wrote:



>But then you read the footnote, and see that 'moderately wasted' means:
>* Below minus two standard deviations from median weight for height of
>reference population


By that standard, my wife is "moderately wasted". And she's healthier
than I am, and even more beautiful.

John



Article: 95335
Subject: Re: OT:Shooting Ourselves in the Foot
From: Fred Bloggs <nospam@nospam.com>
Date: Sun, 22 Jan 2006 17:02:23 GMT
Links: << >>  << T >>  << A >>


John Larkin wrote:

> By that standard, my wife is "moderately wasted". And she's healthier
> than I am, and even more beautiful.
> 
> John
> 
> 

Like I always said: psychopathic narcissist.


Article: 95336
Subject: Re: OT:Shooting Ourselves in the Foot
From: John Larkin <jjlarkin@highNOTlandTHIStechnologyPART.com>
Date: Sun, 22 Jan 2006 09:09:34 -0800
Links: << >>  << T >>  << A >>
On Sun, 22 Jan 2006 17:02:23 GMT, Fred Bloggs <nospam@nospam.com>
wrote:

>
>
>John Larkin wrote:
>
>> By that standard, my wife is "moderately wasted". And she's healthier
>> than I am, and even more beautiful.
>> 
>> John
>> 
>> 
>
>Like I always said: psychopathic narcissist.

Hey, whatever works.

John


Article: 95337
Subject: Re: OT:Shooting Ourselves in the Foot
From: "Ian" <i.buckner_NOT_@btinternet.com>
Date: Sun, 22 Jan 2006 17:29:29 +0000 (UTC)
Links: << >>  << T >>  << A >>

"Pooh Bear" <rabbitsfriendsandrelations@hotmail.com> wrote in message 
news:43D2BE7D.7E0920D4@hotmail.com...
>
>
> Lanarcam wrote:
>
>> Pooh Bear wrote:
>> > Richard Henry wrote:
>> >
<snip>> > >
>> > > It is believed that a version of bird flu was the virus that infected 
>> > > the
>> > > world in 1918.
>> >
>> > I know that.
>> >
>> > So why's is it a special problem in 2006 ? And not in the intervening 
>> > years ?
>> >
>> > My point is that we should have been equally worried all that time but 
>> > it
>> > wasn't a lead news story for nearly a century.
>>
>> "The current outbreaks of highly pathogenic avian influenza
>> are the largest and most severe on records. Never before
>> in the history of this disease have so many countries been
>> simultaneously infected"
>> http://www.who.int/csr/disease/avian_influenza/avian_faqs/en/index.html#howdo
>
> At last ! Some light on the subject.
>
> Thanks,        Graham
>
Stats I've seen (sorry, no citation) gave the mortality rate for the 1918 
flu outbreak as 10%
of infections, for the current strain 50%+.

Regards
    Ian 



Article: 95338
Subject: Starting with LVDS
From: "Frank Schreiber" <frankschr@googlemail.com>
Date: Sun, 22 Jan 2006 18:29:45 +0100
Links: << >>  << T >>  << A >>
Dear all
I'm starting with LVDS.
My task is sending 8-bits signal to LVDS Transmitter port on my board.
I declared a 8 bits vector, assigned pins, and changed values in 8-bits
signal, but nothing happended in my oscilloscope. Assume that pins-out are
right assigned, all wires and DAC are working perfectly.
Can anyone advise me, how to make it works.
Many thanks
Frank



Article: 95339
Subject: Re: OT:Shooting Ourselves in the Foot
From: John Larkin <jjlarkin@highNOTlandTHIStechnologyPART.com>
Date: Sun, 22 Jan 2006 10:22:12 -0800
Links: << >>  << T >>  << A >>
On 22 Jan 2006 00:34:14 -0800, bill.sloman@ieee.org wrote:

>
>The distribution is the big if. Children's weights will obviously not
>lie on a Gaussian distribution - there will be a lot more very fat
>children than very skinny children, because gross obesity takes a long
>time to kill you, while starvation can do for a kid in a few weeks.


Being thin doesn't mean a kid is starving to death. It just means
they're skinny. Both my wife and I were very skinny as kids; luckily,
she still is.

>
>As John Larkin has point out, most of the variability in the U.S.
>population is going to be concentrated in the fat kids.
>

The US has an ethnic mix very different from Europe's. Native
Americans and Pacific islanders tend to get fat on Western diets.
Southeast asians and filipinos tend to be small and thin. The
distribution will still be close to normal (you can't avoid the
central limit theorem) but will be wider than in an ethnically uniform
population.

But if the below-2-sigma part of a population is defined as
malnourished, then all populations have equal proportion of
malnourished.

>> It doesn't mean that those 0.6% are or are not malnourished.
>
>Seems very likely that they are. Children starve a lot fasster than
>adults.

The children who die of malnutrition in the USA are overwhelmingly
victims of profound illness, generally birth defects. One rarely
reads, say, of a lunatic parent who allows a child to die from lack of
care. We have AFDC, food stamps, free meal centers, charities, and
child protective agencies that look out for kids. Far more dangerous
is being killed by trauma, overwhelmingly likely to be inflicted by a
step-parent or other non-blood-relative.

But what is this obsession with US juvenile nutrition? It's a weird,
recurrent theme.

John


Article: 95340
Subject: Re: OT:Shooting Ourselves in the Foot
From: "Michael A. Terrell" <mike.terrell@earthlink.net>
Date: Sun, 22 Jan 2006 18:33:14 GMT
Links: << >>  << T >>  << A >>
Steve at fivetrees wrote:
> 
> "Michael A. Terrell" <mike.terrell@earthlink.net> wrote in message
> news:43D2F4B3.7888F481@earthlink.net...
> >   No problem.  I am starting to lose my close-up eyesight to diabetes,
> > and have to depend on the spell checker. I have severe carpal tunnel
> > (The VA and Shands hospital doctors tell me the surgery won't help me)
> > so I have to type with just a couple fingers.  That causes me a lot of
> > spelling errors.  I get so busy trying to make sure the spelling is
> > correct that I sometimes forget to check the syntax.
> 
> Sorry to hear it. Good luck.
> 
> Steve
> http://www.fivetrees.com


   I have come to the conclusion that I'll just have to live with it as
long as I can still take care of myself without outside help.  The one
thing I really miss is reading real books.  I have to put a book on my
flatbed scanner, then blow it up on my computer screen to read it
without getting a headache.  I have read as many as 10 paperback books
in a single day when I was younger.  I was an avid Sci-Fi fan when I was
in my teens and early 20s and read just about anything I could get my
hands on.

   Like Art Linkletter once said, "Old age isn't for sissies!" :)


-- 
Service to my country? Been there, Done that, and I've got my DD214 to
prove it.
Member of DAV #85.

Michael A. Terrell
Central Florida

Article: 95341
Subject: Re: OT:Shooting Ourselves in the Foot
From: Jim Thompson <To-Email-Use-The-Envelope-Icon@My-Web-Site.com>
Date: Sun, 22 Jan 2006 11:33:35 -0700
Links: << >>  << T >>  << A >>
On Sun, 22 Jan 2006 10:22:12 -0800, John  Larkin
<jjlarkin@highNOTlandTHIStechnologyPART.com> wrote:

>On 22 Jan 2006 00:34:14 -0800, bill.sloman@ieee.org wrote:
>
>>
>>The distribution is the big if. Children's weights will obviously not
>>lie on a Gaussian distribution - there will be a lot more very fat
>>children than very skinny children, because gross obesity takes a long
>>time to kill you, while starvation can do for a kid in a few weeks.
>
>
>Being thin doesn't mean a kid is starving to death. It just means
>they're skinny. Both my wife and I were very skinny as kids; luckily,
>she still is.
>
>>
>>As John Larkin has point out, most of the variability in the U.S.
>>population is going to be concentrated in the fat kids.
>>
>
>The US has an ethnic mix very different from Europe's. Native
>Americans and Pacific islanders tend to get fat on Western diets.
>Southeast asians and filipinos tend to be small and thin. The
>distribution will still be close to normal (you can't avoid the
>central limit theorem) but will be wider than in an ethnically uniform
>population.
>
>But if the below-2-sigma part of a population is defined as
>malnourished, then all populations have equal proportion of
>malnourished.
>
>>> It doesn't mean that those 0.6% are or are not malnourished.
>>
>>Seems very likely that they are. Children starve a lot fasster than
>>adults.
>
>The children who die of malnutrition in the USA are overwhelmingly
>victims of profound illness, generally birth defects. One rarely
>reads, say, of a lunatic parent who allows a child to die from lack of
>care. We have AFDC, food stamps, free meal centers, charities, and
>child protective agencies that look out for kids. Far more dangerous
>is being killed by trauma, overwhelmingly likely to be inflicted by a
>step-parent or other non-blood-relative.
>
>But what is this obsession with US juvenile nutrition? It's a weird,
>recurrent theme.
>
>John

Slow-man is running out of things to harp about and is now grasping at
straws.

                                        ...Jim Thompson
-- 
|  James E.Thompson, P.E.                           |    mens     |
|  Analog Innovations, Inc.                         |     et      |
|  Analog/Mixed-Signal ASIC's and Discrete Systems  |    manus    |
|  Phoenix, Arizona            Voice:(480)460-2350  |             |
|  E-mail Address at Website     Fax:(480)460-2142  |  Brass Rat  |
|       http://www.analog-innovations.com           |    1962     |
             
     It's what you learn, after you know it all, that counts.

Article: 95342
Subject: Re: post-fit simulation failed
From: "Antti Lukats" <antti@openchip.org>
Date: Sun, 22 Jan 2006 19:35:07 +0100
Links: << >>  << T >>  << A >>
"Olaf Petzold" <olaf@mdcc-fun.net> schrieb im Newsbeitrag 
news:dqvdse$jnd$1@viper.mdlink.de...
> Hello,
>
> with the following code snipped I have Problems on synthese/fit process on 
> xst Web/ISE 8.1 (the behavioral simulation works fine) for a CPLD XC95000:
>

http://bugs.xilant.com/view.php?id=7

Hi Olaf,

sorry - I did not pay enough attention to "8.1" - I do have 8.1 installed as 
secondary ISE so I tested your code on 7.1

the code does invoke a PLD fitter bug that is new to 8.1, that is your code 
works correctly when target arch is FPGA or ISE version is 7.x or earlier.

with XC95xx as target and 8.1 the input signal does get optimized away as 
you describe. so I have added it to the public bug track database :)

antti



Article: 95343
Subject: Re: OT:Shooting Ourselves in the Foot
From: Fred Abse <excretatauris@cerebrumconfus.it>
Date: Sun, 22 Jan 2006 18:54:25 +0000
Links: << >>  << T >>  << A >>
On Fri, 20 Jan 2006 17:10:55 -0800, John Larkin wrote:

> That's nothing. My computer screen, and all the programs I run, are
> covered with little picture buttons. You don't have to be literate to
> operate a word processor program!

You first have to learn and remember what all the silly things mean :-(

-- 
"Electricity is of two kinds, positive and negative. The difference
is, I presume, that one comes a little more expensive, but is more
durable; the other is a cheaper thing, but the moths get into it."
                                             (Stephen Leacock)

Article: 95344
Subject: Re: OT:Shooting Ourselves in the Foot
From: Spehro Pefhany <speffSNIP@interlogDOTyou.knowwhat>
Date: Sun, 22 Jan 2006 13:56:45 -0500
Links: << >>  << T >>  << A >>
On Sun, 22 Jan 2006 18:33:14 GMT, the renowned "Michael A. Terrell"
<mike.terrell@earthlink.net> wrote:

>
>   I have come to the conclusion that I'll just have to live with it as
>long as I can still take care of myself without outside help.  The one
>thing I really miss is reading real books.  I have to put a book on my
>flatbed scanner, then blow it up on my computer screen to read it
>without getting a headache.  I have read as many as 10 paperback books
>in a single day when I was younger.  I was an avid Sci-Fi fan when I was
>in my teens and early 20s and read just about anything I could get my
>hands on.

There are devices that have a camera mounted vertically with a monitor
overtop, used for people who have poor vision, but they cost several
thousands of dollars (small market) if you can't get a subsidy on
them. I wonder if you could do the same thing with a decent camera and
an inexpensive monitor. It's got to be better to just move the book
around under the camera than waiting while the scanner whines and
grinds its way through each frame. 

There are also dedicated reading machines such as the Xerox "Reading
Edge" which will read books aloud with fair success (you have to get
used to the text-to-speech 'accent' they have). 


Best regards, 
Spehro Pefhany
-- 
"it's the network..."                          "The Journey is the reward"
speff@interlog.com             Info for manufacturers: http://www.trexon.com
Embedded software/hardware/analog  Info for designers:  http://www.speff.com

Article: 95345
Subject: Re: Starting with LVDS
From: "Peter Alfke" <alfke@sbcglobal.net>
Date: 22 Jan 2006 11:29:27 -0800
Links: << >>  << T >>  << A >>
Frank, be serious:
You do not tell us which FPGA family and which board. You don't report
that you have tried a different output standard. You mention little
about your design and environment.
How can you possibly expect any meaningful help?
It's like calling a doctor: "What should I do, it hurts!"
Peter Alfke


Article: 95346
Subject: Re: Starting with LVDS
From: "Antti Lukats" <antti@openchip.org>
Date: Sun, 22 Jan 2006 20:40:14 +0100
Links: << >>  << T >>  << A >>
"Frank Schreiber" <frankschr@googlemail.com> schrieb im Newsbeitrag 
news:dr0fec$kvu$1@anderson.hrz.tu-chemnitz.de...
> Dear all
> I'm starting with LVDS.
> My task is sending 8-bits signal to LVDS Transmitter port on my board.
> I declared a 8 bits vector, assigned pins, and changed values in 8-bits
> signal, but nothing happended in my oscilloscope. Assume that pins-out are
> right assigned, all wires and DAC are working perfectly.
> Can anyone advise me, how to make it works.
> Many thanks
> Frank
>
>

Maxim, ADI and TI LVDS DACs all require LVDS clock to latch the data, unless 
you provide some meaningful data on the output and suitable clock do not 
expect anything.

you said "assume" all wires and DAC are working,  well assuming that all 
should work as long as you made some meaningful (as per DAC datasheet spec) 
singals on the LVDS data and clock outputs.

Antti 



Article: 95347
Subject: Re: Starting with LVDS
From: "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk>
Date: Sun, 22 Jan 2006 20:08:59 -0000
Links: << >>  << T >>  << A >>
Frank

Remember to check the scale on your oscilloscpe. The LVDS signal is very 
small and easy to miss if setup for something like TTL levels. I've done 
that before myself. If you are using Xilinx you can check if LVDS is 
implemented from the pin file I think or do it my favorite way in by looking 
at the design in FPGA editor.

John Adair
Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development 
Board.
http://www.enterpoint.co.uk

"Frank Schreiber" <frankschr@googlemail.com> wrote in message 
news:dr0fec$kvu$1@anderson.hrz.tu-chemnitz.de...
> Dear all
> I'm starting with LVDS.
> My task is sending 8-bits signal to LVDS Transmitter port on my board.
> I declared a 8 bits vector, assigned pins, and changed values in 8-bits
> signal, but nothing happended in my oscilloscope. Assume that pins-out are
> right assigned, all wires and DAC are working perfectly.
> Can anyone advise me, how to make it works.
> Many thanks
> Frank
>
> 



Article: 95348
Subject: Re: Starting with LVDS
From: "Antti Lukats" <antti@openchip.org>
Date: Sun, 22 Jan 2006 21:15:08 +0100
Links: << >>  << T >>  << A >>
Hi John,

he is looking at DAC output not at LVDS signals. he said assume the wires 
__and__ DAC are working properly, so hes oscilloscope is on the output of an 
LVDS DAC

Antti

"John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk> schrieb 
im Newsbeitrag news:dr0oor$gck$1@newsg2.svr.pol.co.uk...
> Frank
>
> Remember to check the scale on your oscilloscpe. The LVDS signal is very 
> small and easy to miss if setup for something like TTL levels. I've done 
> that before myself. If you are using Xilinx you can check if LVDS is 
> implemented from the pin file I think or do it my favorite way in by 
> looking at the design in FPGA editor.
>
> John Adair
> Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development 
> Board.
> http://www.enterpoint.co.uk
>
> "Frank Schreiber" <frankschr@googlemail.com> wrote in message 
> news:dr0fec$kvu$1@anderson.hrz.tu-chemnitz.de...
>> Dear all
>> I'm starting with LVDS.
>> My task is sending 8-bits signal to LVDS Transmitter port on my board.
>> I declared a 8 bits vector, assigned pins, and changed values in 8-bits
>> signal, but nothing happended in my oscilloscope. Assume that pins-out 
>> are
>> right assigned, all wires and DAC are working perfectly.
>> Can anyone advise me, how to make it works.
>> Many thanks
>> Frank
>>
>>
>
> 



Article: 95349
Subject: Re: Reading user data from PROM
From: "Antti Lukats" <antti@openchip.org>
Date: Sun, 22 Jan 2006 21:29:04 +0100
Links: << >>  << T >>  << A >>
"sssrrr" <shubhara0@yahoo.com> schrieb im Newsbeitrag 
news:1137904305.568606.237090@g44g2000cwa.googlegroups.com...
> Can you please point me to the correct app note?  The ones I have seen
> refer to storing and reading user data via the FPGA, using extra
> internal logic, but I don't remember seeing anything that describes
> reading back user data directly from the PROM via its JTAG port when an
> FPGA is not present.
>
> Thanks,
> Antti.Lukats@xilant.com wrote:
>> yes there is xilinx appnote on this as well
>

1) look at the xilinx app notes with FPGA and use the same algo
2) look at SVF and implement the algo
3) look at 1532 and implement the algo

I have done it based on [2] and [3]

Antti 





Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search