Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 85250

Article: 85250
Subject: Re: How do I find out the connection of the LCD I took out from a digital camera?
From: "Art" <plotsligt@comcast.net>
Date: Tue, 7 Jun 2005 05:55:57 -0400
Links: << >>  << T >>  << A >>
Probably need to hack it a bit and see what results you experience. Maybe 
post to a "Digital Camera" N/Gs?
"Krist Neot" <Krist_Neot@hotmail.com> wrote in message 
news:42a5306c$1@news.starhub.net.sg...
> In my old Olympus C900Z, it has very brilliant color display, and a
> resonable
> 240*180 resolution. When I opened it, the connectors and everything are in
> good order. Now I need to find out the connection so that I can use it in 
> my
> hobby projects. Is there a standard connection for such small LCD 
> displays?
>
> Thanks.
>
> 



Article: 85251
Subject: Re: Pissed off with Xilinx - Spartan 3
From: "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk>
Date: Tue, 7 Jun 2005 11:05:09 +0100
Links: << >>  << T >>  << A >>
Word on the street is Spartan-3 lead times are generally increasing at the 
moment. We are seeing that ourselves. I believe there has been a big "turn 
on" of projects using the parts lately. Hopefully the boys and girls at 
Xilinx are ramping production to catch up.

We can't help you on PQ208 but we do have some XC3S200 VQG100 I believe 
within our strategic spartan-3 stocks. It is also worth talking to the Memec 
in the UK you deal with and see if they have better lead times on variations 
of what you need i.e. speed grade, industrial grade etc. They don't tend to 
carry a lot of stock as they get burned if it does not sell so mostly it is 
a place an order an wait. Some places outside the UK sometimes carry stock 
so worth doing a search if you are desperate.

I have not tried it myself but Xilinx sell XC3S200 direct of their website 
and I don't know if they have issues in them shipping to the UK.

John Adair
Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development 
Board.
http://www.enterpoint.co.uk


"Fred" <Fred@nospam.com> wrote in message 
news:42a4e251$0$4095$db0fefd9@news.zen.co.uk...
>
> "Peter Alfke" <peter@xilinx.com> wrote in message 
> news:1118091416.990182.179050@g14g2000cwa.googlegroups.com...
>> Frederic,
>> We have plenty of XC3S200, but how can we halp you when you give
>> neither your name nor your company affiliation. Being "pissed off" is
>> no good when you give us no chance to help you.
>>
>> Peter Alfke, Xilinx
>>
>
> Perhaps by telling that to Insight Memec in the UK rather than telling 
> them there's a 14 week lead time!  Also having more than one distributor 
> in the UK may inspire some customer appreciation.
> 



Article: 85252
Subject: Fast/low area Sorting hardware.
From: john.deepu@gmail.com
Date: 7 Jun 2005 03:35:44 -0700
Links: << >>  << T >>  << A >>
Hi all ,
 I wanted to implement a fast and low area sorting algorithm in Verilog
RTL, does anyone have any suggestions?
Any links to IEEE papers, articles are higly welcome...

regards
Deepu John


Article: 85253
Subject: Re: Pissed off with Xilinx - Spartan 3
From: "Fred" <Fred@nospam.com>
Date: Tue, 7 Jun 2005 11:49:40 +0100
Links: << >>  << T >>  << A >>
Thanks for your offer but I need the pins.

Xilinx have their buy online store but they only sell CPLDs there.  A reply 
to an email I sent to "online store" said talk to your distributor and sales 
office which are things I had already done.  I have searched in vain but 
can't get any hint of sourcing samples off their website.  Do you have any 
links?


"John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk> wrote in 
message news:1118138706.27056.0@damia.uk.clara.net...
> Word on the street is Spartan-3 lead times are generally increasing at the 
> moment. We are seeing that ourselves. I believe there has been a big "turn 
> on" of projects using the parts lately. Hopefully the boys and girls at 
> Xilinx are ramping production to catch up.
>
> We can't help you on PQ208 but we do have some XC3S200 VQG100 I believe 
> within our strategic spartan-3 stocks. It is also worth talking to the 
> Memec in the UK you deal with and see if they have better lead times on 
> variations of what you need i.e. speed grade, industrial grade etc. They 
> don't tend to carry a lot of stock as they get burned if it does not sell 
> so mostly it is a place an order an wait. Some places outside the UK 
> sometimes carry stock so worth doing a search if you are desperate.
>
> I have not tried it myself but Xilinx sell XC3S200 direct of their website 
> and I don't know if they have issues in them shipping to the UK.
>
> John Adair
> Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development 
> Board.
> http://www.enterpoint.co.uk
>
>
> "Fred" <Fred@nospam.com> wrote in message 
> news:42a4e251$0$4095$db0fefd9@news.zen.co.uk...
>>
>> "Peter Alfke" <peter@xilinx.com> wrote in message 
>> news:1118091416.990182.179050@g14g2000cwa.googlegroups.com...
>>> Frederic,
>>> We have plenty of XC3S200, but how can we halp you when you give
>>> neither your name nor your company affiliation. Being "pissed off" is
>>> no good when you give us no chance to help you.
>>>
>>> Peter Alfke, Xilinx
>>>
>>
>> Perhaps by telling that to Insight Memec in the UK rather than telling 
>> them there's a 14 week lead time!  Also having more than one distributor 
>> in the UK may inspire some customer appreciation.
>>
>
> 



Article: 85254
Subject: Re: Fast/low area Sorting hardware.
From: Stephane <stephane@nospam.fr>
Date: Tue, 07 Jun 2005 12:56:14 +0200
Links: << >>  << T >>  << A >>
The question is how many values you want to sort... basically, this is 
iterative algorithm, so for a large set, a big memory and controller 
will be small but long.

as you posted on comp.arch.fpga, you might like this one:
http://www.xilinx.com/xcell/xl23/xl23_16.pdf

else googlize "rank order filter"

john.deepu@gmail.com wrote:
> Hi all ,
>  I wanted to implement a fast and low area sorting algorithm in Verilog
> RTL, does anyone have any suggestions?
> Any links to IEEE papers, articles are higly welcome...
> 
> regards
> Deepu John
> 

Article: 85255
Subject: Re: Fast/low area Sorting hardware.
From: john.deepu@gmail.com
Date: 7 Jun 2005 03:56:37 -0700
Links: << >>  << T >>  << A >>
I wanted to sort 48 8bit unsigned numbers


Article: 85256
Subject: Re: PowerPC crash down
From: Aurelian Lazarut <aurash@xilinx.com>
Date: Tue, 07 Jun 2005 11:58:07 +0100
Links: << >>  << T >>  << A >>
Pierre,
First I would check the power supply
Aurash
Pierre wrote:

>Hello,
>I'm using a Virtex-II Pro with 1 PowerPC 405. All worked very well until the
>moment I had to add big FIR filters (2 D.A. with 85 taps and 2 MAC with 17
>taps). Now, at any time, the programm stop: the FPGA part continue to work
>but the PPC part doesn't work anymore. The only solution to continue is to
>reset the board.
>
>Is it because the number of slices occupied grow up from 48 % to more than
>75 % and it's to big for the PPC? Does someone have find the same problem ?
>
>My configuration:
>PPC @ 300 MHz
>16ko ISOCM (for PPC instructions)
>16ko PLB BRAM (for PPC data)
>32 ko DOCM BRAM (use as dual port between "PPC part" and "FPGA part")
>FPGA with external clock at 60 MHz (multiply by 2 with DCM)
>
>Thank you for our help.
>
>Pierre
>
>
>  
>


-- 
 __
/ /\/\ Aurelian Lazarut
\ \  / System Verification Engineer
/ /  \ Xilinx Ireland
\_\/\/
 
phone:	353 01 4032639
fax:	353 01 4640324
    
     


Article: 85257
Subject: Re: Pissed off with Xilinx - Spartan 3
From: "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk>
Date: Tue, 7 Jun 2005 12:18:51 +0100
Links: << >>  << T >>  << A >>
They seem to have broken this in last week's "upgrade" of the website. It 
was definately there a few weeks ago because I looked at with one of our 
customers. There is a button on 
http://www.xilinx.com/xlnx/xil_prodcat_landingpage.jsp?title=Spartan-3 for 
buying samples but it seems to have something not right about it too.

Moral of the story is order your FPGA parts at the beginning of your 
project. It is usually the limiting factor in fast turn board design and 
prototype. We have been burned before so we carry our own small strategic 
stocks of things we might use and have delivered custom FPGA board designs 
to customer in less than 3 weeks by having these parts available.

If your project has any amount of production volume behind it talk to your 
rep a bit harder. It is sometimes amazing what can be done for the right 
project.

John Adair
Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development 
Board.
http://www.enterpoint.co.uk


"Fred" <Fred@nospam.com> wrote in message 
news:42a57bc6$0$23699$db0fefd9@news.zen.co.uk...
> Thanks for your offer but I need the pins.
>
> Xilinx have their buy online store but they only sell CPLDs there.  A 
> reply to an email I sent to "online store" said talk to your distributor 
> and sales office which are things I had already done.  I have searched in 
> vain but can't get any hint of sourcing samples off their website.  Do you 
> have any links?
>
>
> "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk> wrote 
> in message news:1118138706.27056.0@damia.uk.clara.net...
>> Word on the street is Spartan-3 lead times are generally increasing at 
>> the moment. We are seeing that ourselves. I believe there has been a big 
>> "turn on" of projects using the parts lately. Hopefully the boys and 
>> girls at Xilinx are ramping production to catch up.
>>
>> We can't help you on PQ208 but we do have some XC3S200 VQG100 I believe 
>> within our strategic spartan-3 stocks. It is also worth talking to the 
>> Memec in the UK you deal with and see if they have better lead times on 
>> variations of what you need i.e. speed grade, industrial grade etc. They 
>> don't tend to carry a lot of stock as they get burned if it does not sell 
>> so mostly it is a place an order an wait. Some places outside the UK 
>> sometimes carry stock so worth doing a search if you are desperate.
>>
>> I have not tried it myself but Xilinx sell XC3S200 direct of their 
>> website and I don't know if they have issues in them shipping to the UK.
>>
>> John Adair
>> Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development 
>> Board.
>> http://www.enterpoint.co.uk
>>
>>
>> "Fred" <Fred@nospam.com> wrote in message 
>> news:42a4e251$0$4095$db0fefd9@news.zen.co.uk...
>>>
>>> "Peter Alfke" <peter@xilinx.com> wrote in message 
>>> news:1118091416.990182.179050@g14g2000cwa.googlegroups.com...
>>>> Frederic,
>>>> We have plenty of XC3S200, but how can we halp you when you give
>>>> neither your name nor your company affiliation. Being "pissed off" is
>>>> no good when you give us no chance to help you.
>>>>
>>>> Peter Alfke, Xilinx
>>>>
>>>
>>> Perhaps by telling that to Insight Memec in the UK rather than telling 
>>> them there's a 14 week lead time!  Also having more than one distributor 
>>> in the UK may inspire some customer appreciation.
>>>
>>
>>
>
> 



Article: 85258
Subject: Re: USB interface With AMBA AHB
From: "Joe" <joe.ricky@gmail.com>
Date: 7 Jun 2005 04:31:32 -0700
Links: << >>  << T >>  << A >>
      I have a simple USB Devcie controller with some inputs and
outputs, no special interfacing signals are attached to it. It's a
simple USB Core running at fullspeed. My concern is how to tackle
timing issues while interfacing it with ARM core.


Article: 85259
Subject: Re: Pissed off with Xilinx - Spartan 3
From: dalai lamah <antonio12358@hotmail.com>
Date: Tue, 07 Jun 2005 11:34:48 GMT
Links: << >>  << T >>  << A >>
Un bel giorno Fred digiṭ:

> 14 week lead time for samples for the XC3S200.

Until some months ago, Xilinx was selling directly these parts (up to
XC3S400 IIRC) through their online shop. Apparently this is no longer true,
maybe they don't care to "push" Spartan3 anymore.

>  How can you prototype with that?

And you haven't tried to ask for bigger models. I would like to do a
prototype with a XC3S4000, and it seems that no one in the world is able to
sell less than 40 or 50 pieces at a time (and of course, not before 8-10
weeks). I would have to spend 8000$ just to make the prototype, plus 2500$
for ISE Foundation (because - I don't know why - they have decided to drop
the support for the biggest Spartan3 from ISE Webpack and BaseX).

And this is what they call "low cost". I'm not angry, I'm just very tired
of having more and more problems to get the FPGAs I need. As far as I know
XC3S4000 costs around 150$ for "small" quantities, I don't think it has to
be considered a high-end device.

-- 
asd

Article: 85260
Subject: Re: XP for NIOS2
From: dave <dave@dave.dave>
Date: Tue, 07 Jun 2005 12:37:37 +0100
Links: << >>  << T >>  << A >>
Piotr Wyderski wrote:
> David Brown wrote:
> 
> 
>>If you are an academic, I believe Altera have special prices and deals 
>>for academic use.
> 
> 
> Well, I am, but I don't want to abuse it, it will be unfair. 
> 
> 
>>but I personally wouldn't consider using wince for anything but a 
>>PDA, even if it were completely free of cost. 
> 
> 
> Why?
> 
> 
>>If you really do try to port wince to an opencores cpu,
>>you are going to have a big job on your hands
> 
> 
> The complexity is not a problem, I do it in my spare time.
> It could take even several months, doesn't matter...
> 
>     Best regards
>     Piotr Wyderski
> 
> 

wince licence is <$3 per device

wince v3.0 or older source downloadable via MS subscriptions (inc free 
MS subscription).

No toolset. No libraries. No drivers. No examples. No support. No source 
assistance and no replication permission. Ownership remains Microsoft's 
including your changes.

WinCE is a excellent modular embedded OS, the add-ons and drivers make 
it freeze/crash. Sort of like embedding a Linux kernel with bad code!!

That all said, I would go for a Linux RTOS. Use MicroJava for your GUI 
and user apps (like on a cell phone).

IMHO.

Article: 85261
Subject: Simulation problems virtex II
From: "zoinks@mytrashmail.com" <zoinks@mytrashmail.com>
Date: 7 Jun 2005 04:39:39 -0700
Links: << >>  << T >>  << A >>
Hi everyone,


I have been trying to get a good behavioral simulation going of a
Virtex2 on the ML310 board. I'm trying to simulate a standard generated

chip architecture with DSOCM & ISOCM running the standard generated
test-program.


As far as I know there are two ways to go (with the software I have):


1) directly from XPS
2) via ProjectNavigator


The problem with 1) is that the simulated chip "hangs", it does not run

any program, and all the core reset signals go high, and never come
down... (I provide stimuli to the pin_clk and pin_rst)


The problem with 2) is that I can't even get a proper simulation to
work, when I run the sim from XPN, it tells me that the components
aren't bound, resulting in Undefined signals everywhere but the RST and

VCC.


I am new to these kind of large scale VHDL environments, so any help
would be appreciated. 


Jim Tuilman


Article: 85262
Subject: Re: XP for NIOS2
From: dave <dave@dave.dave>
Date: Tue, 07 Jun 2005 12:43:18 +0100
Links: << >>  << T >>  << A >>
Piotr Wyderski wrote:
> Hello,
> 
> why is there neither Windows XP Embedded nor Windows CE port
> for the Nios2 processor? Does Altera plan to create such a port?
> If not Nios, then which soft processor would you recommend me?
> 
>    Best regards
>    Piotr Wyderski
> 

Try uC/OS-II instead.

Article: 85263
Subject: nios32 -> nios2 assembly porting?
From: Jedi <me@aol.com>
Date: Tue, 07 Jun 2005 11:44:51 GMT
Links: << >>  << T >>  << A >>
Hello...


Is ther any document/app-note/how-to for porting an existing
nios32 assembly program to nios2 version?

Especially confusing about the window register moving stuff...

Having here some interrupt/thread handler which I have to move
to NIOS2 version...


thanx in advance
rick

Article: 85264
Subject: Re: Pissed off with Xilinx - Spartan 3
From: Sylvain Munaut <com.246tNt@tnt>
Date: Tue, 07 Jun 2005 13:54:55 +0200
Links: << >>  << T >>  << A >>

> And this is what they call "low cost". I'm not angry, I'm just very tired
> of having more and more problems to get the FPGAs I need. As far as I know
> XC3S4000 costs around 150$ for "small" quantities, I don't think it has to
> be considered a high-end device.

Doh who told you that ?
I bought like 10 of XC3S400 FT256 for <30$ piece


	Sylvain

Article: 85265
Subject: Re: Fast/low area Sorting hardware.
From: "JJ" <johnjakson@yahoo.com>
Date: 7 Jun 2005 05:02:20 -0700
Links: << >>  << T >>  << A >>
Depends on how fast you want it and what the input order usually is.

Do it in C 1st and cost it so that every read and write into data array
is your memory access cost function and every compare done is your hw
compare cost function.

For a 2 input a,b = sort x,y
a,b <= (x<y)? x,y : y,x;

Arrange this into a merge sort should take 24*6*2 clocks using a DP
ram. Thats around 288 clocks worst case. If no swap, you could save
some clocks. If data is always sorted the time can be halved.

Quicksort would be a waste of time & HW design effort here.
Even bubble sorts are ok if you know the data is already mostly in
order most of the time. Sort only as fast as you actually need.

Since your data is also only 8bits wide the other obvious candidate is
counter sorting. Fill an array of 256 counters with 0.
Then scan the 48 inputs and do cntr[data[i]]++; Then scan the cntr list
and for each cntr value emit that index the value no of times. This
will take maybe 256 clocks to 0, 48 clocks to do the ++, then 256
clocks to scan the counts plus 48 more to emit the values. With dual
port you could get around 300 clocks with out using any compares. This
algorithm works very well when you have lots of data inputs with low
precisions, but not so well other way around. 48 inputs is a bit low
for this design.

johnjakson at usa dot com


Article: 85266
Subject: Re: Pissed off with Xilinx - Spartan 3
From: "Thomas Entner" <aon.912710880@aon.at>
Date: Tue, 7 Jun 2005 14:21:41 +0200
Links: << >>  << T >>  << A >>
Hi Fred,

I would not interprete too much into the fast sale, maybe the buyer has just 
realized that he makes a good deal (we are happy to get rid of them...). In 
fact, we got our parts immediately from Memec, about 2 month ago.

( at Xilinx: I hope, we really get the Spartan 3S500E-4CPG132 at end of 
June, for this nice comment ;-)

Regards,

Thomas


"Fred" <Fred@nospam.com> schrieb im Newsbeitrag 
news:42a56b34$0$23915$db0fefd9@news.zen.co.uk...
>I think the speed of your sale suggests emphasises they're more like 
>rocking horse droppings.
>
>
> "Thomas Entner" <aon.912710880@aon.at> wrote in message 
> news:42a566c0$0$13522$91cee783@newsreader01.highway.telekom.at...
>> Sorry, they are already sold now...
>>
>> "Thomas Entner" <aon.912710880@aon.at> schrieb im Newsbeitrag 
>> news:42a556ed$0$30428$91cee783@newsreader01.highway.telekom.at...
>>> We have 15pcs XC3S200-4VQ100C, date-code 0441, sealed package, never 
>>> opened, that we can sell. If someone is interested, just send me an 
>>> e-mail (we have switched the project to S3E, so we have no longer a need 
>>> for them...).
>>>
>>> Thomas Entner
>>>
>>> www.entner-electronics.com
>>>
>>>



Article: 85267
Subject: VirtexII:DCM:CLKFX phase delay
From: "al82" <yscdi62k001@sneakemail.com>
Date: 7 Jun 2005 05:23:22 -0700
Links: << >>  << T >>  << A >>
Can anyone tell me which is the phase delay between input and
output(CLKFX) when there is no feedback (Input frequency less than
24MHz)

Thanks


Article: 85268
Subject: Re: Fast/low area Sorting hardware.
From: john.deepu@gmail.com
Date: 7 Jun 2005 05:26:25 -0700
Links: << >>  << T >>  << A >>
Hi Stephane.
I wanted to sort 48 8bit unsigned numbers 

thanks
Deepu


Article: 85269
Subject: Re: USB interface With AMBA AHB
From: "Mike Lewis" <this_is@bogus.ca>
Date: Tue, 7 Jun 2005 08:28:36 -0400
Links: << >>  << T >>  << A >>

"Joe" <joe.ricky@gmail.com> wrote in message 
news:1118143892.876001.308660@z14g2000cwz.googlegroups.com...
>      I have a simple USB Devcie controller with some inputs and
> outputs, no special interfacing signals are attached to it. It's a
> simple USB Core running at fullspeed. My concern is how to tackle
> timing issues while interfacing it with ARM core.
>

Joe ... I think your missing the point. There has to be some interface
(other than the external USB side) that is used to talk to the controller.
A stand-alone self aware controller that thinks on its own doesn't exist.
What is this simple interface? Is it  a chip select with a read/write 
indicator?
Is it synchronous .. ie does it have a clock? I would think that the actual 
timing
would be a secondary problem that you would tackle after you have designed
the interface to AMBA.

Also .... if you haven't already done so ... you should read the AMBA spec.
located on the ARM web site. You're not gonna get anywhere without
understanding what your trying to interface to.

Mike 



Article: 85270
Subject: Placing variables at a specific location (address) using microblaze GCC
From: "JW" <jweese@senstarstellar.com>
Date: 7 Jun 2005 05:34:14 -0700
Links: << >>  << T >>  << A >>
Hello,

I am trying to figure out the ins and outs of the MB-GCC compiler. I am
not familiar with how to place variable at specific locations in
memory. I have used the #pragma directive with other compilers to nail
down variables to specific locations in memory.

Any help would be appreciated. I think that it may also be neccessary
to create another section in memory using the linker. ie .text or .bss
etc.

Thanks in adavance.

Jeremy


Article: 85271
Subject: Re: Pissed off with Xilinx - Spartan 3
From: "Gabor" <gabor@alacron.com>
Date: 7 Jun 2005 05:54:23 -0700
Links: << >>  << T >>  << A >>


Sylvain Munaut wrote:
> > And this is what they call "low cost". I'm not angry, I'm just very tired
> > of having more and more problems to get the FPGAs I need. As far as I know
> > XC3S4000 costs around 150$ for "small" quantities, I don't think it has to
> > be considered a high-end device.
>
> Doh who told you that ?
> I bought like 10 of XC3S400 FT256 for <30$ piece
> 
> 
> 	Sylvain

Count the zeroes...


Article: 85272
Subject: Measuring DDR SDRAM
From: ALuPin@web.de
Date: 7 Jun 2005 05:56:38 -0700
Links: << >>  << T >>  << A >>
Hi,

maybe someone has had any experience on the following problem:

I am searching for guidelines on measuring data lines, strobe lines,
control lines
between DDR SDRAM and user FPGAs (DDR SDRAM controller) on the board
but unfortunately I have not found such information yet.

I would like to know what I have to take into account when trying to
measure with an oscilloscope the different data lines so that data
integrity is not negatively affected.

Are there any layout guidelines/examples/papers for adding measuring
points (for example approach with coaxial connectors) to the DDR lines
?

What bandwidths do you recommend for oscilloscopes when measuring
phases between
DQ and DQS for 133MHz reliably?

Thank you for your help in advance.

Rgds
Andr=E9


Article: 85273
Subject: Re: FPGA I/O pin current sink
From: "Gabor" <gabor@alacron.com>
Date: 7 Jun 2005 06:01:42 -0700
Links: << >>  << T >>  << A >>


Sai Sanda wrote:
> Could u please suggest me the Xilinx FPGA device I/O pin with around 150mA current sink/source capability.
>
> what is the name of this specification in the FPGA documents.

I'm pretty sure you won't find a pin on any FPGA that drives that kind
of current unless you're talking short-circuit (transient) drive.
Either
use multiple pins (and watch your timing skew) or use an external
driver.


Article: 85274
Subject: Re: Pissed off with Xilinx - Spartan 3
From: "Leon Heller" <leon.heller@dsl.pipex.com>
Date: Tue, 7 Jun 2005 14:03:35 +0100
Links: << >>  << T >>  << A >>
"Leon Heller" <leon.heller@dsl.pipex.com> wrote in message 
news:8MmdnX4F-OA07TjfRVnysw@pipex.net...
> "Fred" <Fred@nospam.com> wrote in message 
> news:42a56b34$0$23915$db0fefd9@news.zen.co.uk...
>>I think the speed of your sale suggests emphasises they're more like 
>>rocking horse droppings.
>
> I bought them immediately, not because I might have trouble getting them 
> (I haven't checked with Memec) but because Thomas was selling them cheaper 
> than I can get them for, and it's less trouble than dealing with Memec. I 
> don't have an account with them, which always seems to cause problems.

I contacted Memec and they won't have any XC3S200-4VQ100C devices for over 
five weeks! Moroever, they will cost me quite a lot more than Thomas wanted 
for his excess stock. It does look like there is a supply problem with the 
smaller Spartan 3 parts, as well as the larger ones.

Leon 





Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search