Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 107975

Article: 107975
Subject: Re: wiring resource utilization?
From: fpga_toys@yahoo.com
Date: 3 Sep 2006 11:52:40 -0700
Links: << >>  << T >>  << A >>

Pasacco wrote:
> Given a design placeed and routed in FPGA,  I need to know "how much
> wiring resources  my implementation are using out of total wiring
> resources".
> 1. How can we know wiring resource utilization?
> 2. Can "number of used switch box" out of "total number of switch box"
> be a metric of wiring resource utilization? If yes, are there any
> method to know that?

 If you are really worried about it ... take a look using the FPGA
editor in the areas you have some concerns.


Article: 107976
Subject: Re: Impossible to download WebPACK?
From: Simon Gornall <simon.gornall@mac.com>
Date: Sun, 3 Sep 2006 13:33:57 -0700
Links: << >>  << T >>  << A >>
On 2006-09-03 05:00:08 -0700, Phil Hays <spampostmaster@comcast.net> said:

> Alan Nishioka wrote:
> 
>> As a data point, webpack just downloaded fine for me.
> 
> As a data point, webpack downloaded just fine for me as well.  I'm near
> Seattle WA, using firefox 1.5.0.4 on Fedora Core 5 (Linux), Comcast
> network connection.  Time was under an hour.

Not to do a 'me too', but ... well ... me too :-)

In San Jose, took 45 minutes.

Simon


Article: 107977
Subject: Re: Please help me with (insert task here)
From: "PeteS" <PeterSmith1954@googlemail.com>
Date: 3 Sep 2006 13:41:01 -0700
Links: << >>  << T >>  << A >>
Bob Ferapples wrote:
To save time and bandwidth, I have boiled down the vast majority of
postings to this newsgroup into a quick and dirty little format that
can speed things up. Please follow this format from now on in this
group.

--------------------------------------------

Please kind (insert platitudes here, or addreess titles i.e. Sirs or
Madames),

I am a new (insert job function or social status here, i.e. Student,
Engineer,
Electrician, Homeowner etc.)

<optional> from (insert non-english speaking country)

and I have this (insert task here, i.e. homework assignment, personal
project, project
assigned to me, problem with my appliance etc.).

I know (insert qualifications here, i.e. next to nothing, very little,
a
little, enough to be dangerous etc.) about electricity,

but was hoping that you all could help me to (restate task here, but
without saying what you really mean, i.e. do my homework for me, get me
out of a jam, help me to avoid paying a professional to do it
correctly, get me through this day without having to think, avoid
electrocuting myself or my loved ones etc).

I hope to hear from you soon.

 Nooby Nooberson

---------------------------------

Snort
x-posted to s.e.d, s.e.b., comp.arch.fpga, comp.arch.embedded,
comp.arch.dsp


Article: 107978
Subject: Re: Impossible to download WebPACK?
From: "PeteS" <PeterSmith1954@googlemail.com>
Date: 3 Sep 2006 14:14:19 -0700
Links: << >>  << T >>  << A >>
Simon Gornall wrote:
> On 2006-09-03 05:00:08 -0700, Phil Hays <spampostmaster@comcast.net> said:
>
> > Alan Nishioka wrote:
> >
> >> As a data point, webpack just downloaded fine for me.
> >
> > As a data point, webpack downloaded just fine for me as well.  I'm near
> > Seattle WA, using firefox 1.5.0.4 on Fedora Core 5 (Linux), Comcast
> > network connection.  Time was under an hour.
>
> Not to do a 'me too', but ... well ... me too :-)
>
> In San Jose, took 45 minutes.
>
> Simon

I downloaded it too, here near Cambridge, UK. It took about 35 minutes.
The only problem I had was *starting* the download because of the
braindead code on the page.

Cheers

PeteS


Article: 107979
Subject: Re: Performance Appraisals
From: Joerg <notthisjoergsch@removethispacbell.net>
Date: Sun, 03 Sep 2006 21:24:08 GMT
Links: << >>  << T >>  << A >>
Hello Frank,

>>>
>>> So ... was "two days" bid as 16 hrs, or 48 hrs?
>>>
>>Our drill sergeant used to say that the day has 24 hours and when that 
>>ain't sufficient then there is still the night.
> 
> Hahaha, that's a good one ;)
> 

Yes, the guys in our company thought so as well. However, when someone 
couldn't help but chuckle he went ballistic and screamed into his face. 
Basic training is often no fun.

The other things he told us probably dates back to the 18th century: "As 
civilians you came, as men you will leave" and "Anything that doesn't 
immediately lead to your death will make you tougher".

-- 
Regards, Joerg

http://www.analogconsultants.com

Article: 107980
Subject: Re: Please help me with (insert task here)
From: martin griffith <mart_in_medina@yahoo.esXXX>
Date: Sun, 03 Sep 2006 23:26:40 +0200
Links: << >>  << T >>  << A >>
On 3 Sep 2006 13:41:01 -0700, in sci.electronics.design "PeteS"
<PeterSmith1954@googlemail.com> wrote:

>Bob Ferapples wrote:
>To save time and bandwidth, I have boiled down the vast majority of
>postings to this newsgroup into a quick and dirty little format that
>can speed things up. Please follow this format from now on in this
>group.
>
>

What is Guy Macon up to these days? Maybe worth redirecting to
wherever he is hiding?


martin

Article: 107981
Subject: Re: Please help me with (insert task here)
From: "PeteS" <PeterSmith1954@googlemail.com>
Date: 3 Sep 2006 14:33:50 -0700
Links: << >>  << T >>  << A >>
martin griffith wrote:
> On 3 Sep 2006 13:41:01 -0700, in sci.electronics.design "PeteS"
> <PeterSmith1954@googlemail.com> wrote:
>
> >Bob Ferapples wrote:
> >To save time and bandwidth, I have boiled down the vast majority of
> >postings to this newsgroup into a quick and dirty little format that
> >can speed things up. Please follow this format from now on in this
> >group.
> >
> >
>
> What is Guy Macon up to these days? Maybe worth redirecting to
> wherever he is hiding?
>
>
> martin

LMAO

W|N>K

You now owe me a link for cheap new keyboards

Cheers

PeteS


Article: 107982
Subject: Re: Please help me with (insert task here)
From: "PeteS" <PeterSmith1954@googlemail.com>
Date: 3 Sep 2006 14:35:23 -0700
Links: << >>  << T >>  << A >>
martin griffith wrote:
> On 3 Sep 2006 13:41:01 -0700, in sci.electronics.design "PeteS"
> <PeterSmith1954@googlemail.com> wrote:
>
> >Bob Ferapples wrote:
> >To save time and bandwidth, I have boiled down the vast majority of
> >postings to this newsgroup into a quick and dirty little format that
> >can speed things up. Please follow this format from now on in this
> >group.
> >
> >
>
> What is Guy Macon up to these days? Maybe worth redirecting to
> wherever he is hiding?
>
>
> martin

Can't post it - he has his own moderated (by him) newsgroup, I
understand. Perhaps he's going to go into competition with Google

Cheers

PeteS


Article: 107983
Subject: Re: Please help me with (insert task here)
From: Don Seglio <cbayona@cox.net>
Date: Sun, 03 Sep 2006 17:00:20 -0500
Links: << >>  << T >>  << A >>
PeteS wrote:
> Bob Ferapples wrote:
> To save time and bandwidth, I have boiled down the vast majority of
> postings to this newsgroup into a quick and dirty little format that
> can speed things up. Please follow this format from now on in this
> group.
> 
> --------------------------------------------
> 
> Please kind (insert platitudes here, or addreess titles i.e. Sirs or
> Madames),
> 
> I am a new (insert job function or social status here, i.e. Student,
> Engineer,
> Electrician, Homeowner etc.)
> 
> <optional> from (insert non-english speaking country)
> 
> and I have this (insert task here, i.e. homework assignment, personal
> project, project
> assigned to me, problem with my appliance etc.).
> 
> I know (insert qualifications here, i.e. next to nothing, very little,
> a
> little, enough to be dangerous etc.) about electricity,
> 
> but was hoping that you all could help me to (restate task here, but
> without saying what you really mean, i.e. do my homework for me, get me
> out of a jam, help me to avoid paying a professional to do it
> correctly, get me through this day without having to think, avoid
> electrocuting myself or my loved ones etc).
> 
> I hope to hear from you soon.
> 
>  Nooby Nooberson
> 
> ---------------------------------
> 
> Snort
> x-posted to s.e.d, s.e.b., comp.arch.fpga, comp.arch.embedded,
> comp.arch.dsp

I'm new to this group and been reading over the different articles, and 
a funny thing is I haven't seen any that was of the nature you have 
described.

Maybe others have a similar attitude and that would explain why I have 
received no replies to a simple question that the Xilinx site does not 
answer.

Somehow I feel really welcomed.


-- 

Cecil
KD5NWA
www.qrpradio.com www.hpsdr.com

"Sacred Cows make the best Hamburger!"	Don Seglio Batuna

Article: 107984
Subject: Re: Please help me with (insert task here)
From: Spehro Pefhany <speffSNIP@interlogDOTyou.knowwhat>
Date: Sun, 03 Sep 2006 18:10:24 -0400
Links: << >>  << T >>  << A >>
On Sun, 03 Sep 2006 23:26:40 +0200, the renowned martin griffith
<mart_in_medina@yahoo.esXXX> wrote:

>On 3 Sep 2006 13:41:01 -0700, in sci.electronics.design "PeteS"
><PeterSmith1954@googlemail.com> wrote:
>
>>Bob Ferapples wrote:
>>To save time and bandwidth, I have boiled down the vast majority of
>>postings to this newsgroup into a quick and dirty little format that
>>can speed things up. Please follow this format from now on in this
>>group.
>>
>>
>
>What is Guy Macon up to these days? Maybe worth redirecting to
>wherever he is hiding?
>
>
>martin

Maybe in little fiefdom, the product development newsgroup. 


Best regards, 
Spehro Pefhany
-- 
"it's the network..."                          "The Journey is the reward"
speff@interlog.com             Info for manufacturers: http://www.trexon.com
Embedded software/hardware/analog  Info for designers:  http://www.speff.com

Article: 107985
Subject: Re: Impossible to download WebPACK?
From: "rickman" <gnuarm@gmail.com>
Date: 3 Sep 2006 15:27:16 -0700
Links: << >>  << T >>  << A >>
zwsdotcom@gmail.com wrote:
> Is it actually possible to download WebPACK?
>
> I tried using my existing xilinx.com account to download it, and I get
> into an endless loop where I'm shown a button that says "click here to
> register" then another button that says "click here to download if
> you're already registered". The second button just takes me to the same
> page again, over and over.
>
> I tried creating a new account on xilinx.com and with this account I
> can't even log into the abovementioned infinite-loop page; it doesn't
> accept the new username/password.
>
> Has anyone ever worked out the magic combination of browser versions
> and whatever other magic the PITAs at xilinx require?

I seem to recall having this same problem once.  I think it was because
I had signed up, but before you are authorized to download, your
application has to be reviewed by a human.  I'm not sure, but I think
you may get an email on Monday telling you where/how to download the
file.


Article: 107986
Subject: Re: Here are the URLs (was Re: Impossible to download WebPACK?)
From: zwsdotcom@gmail.com
Date: 3 Sep 2006 15:36:04 -0700
Links: << >>  << T >>  << A >>

Brian Drummond wrote:

> >wget --user={username} --password={password}
> >http://www.xilinx.com/webpack/index.htm
>
> Creative enough! I usually resort to "view/page source" for the same
> purpose.

That won't work in this case. If you load the page in a browser you
never get to see the page that contains the download URLs - the server
(NOT a META REFRESH!) redirects you instantly back to the "register or
download" page. Broken, massively broken.

> Now all you have to do is hope both ends stay up for a 970MB download.

That's not a problem. I got the Windows and Linux versions, and
downloaded ModelSim for good measure.


Article: 107987
Subject: Re: Please help me with (insert task here)
From: Jonathan Bromley <jonathan.bromley@MYCOMPANY.com>
Date: Sun, 03 Sep 2006 23:58:09 +0100
Links: << >>  << T >>  << A >>
On Sun, 03 Sep 2006 17:00:20 -0500, Don Seglio 
<cbayona@cox.net> wrote:

>I'm new to this group and been reading over the different articles, and 
>a funny thing is I haven't seen any that was of the nature you have 
>described.

Try watching a little longer - there are enough...

>Maybe others have a similar attitude and that would explain why I have 
>received no replies to a simple question that the Xilinx site does not 
>answer.

Errrm, maybe not.  Traffic on comp.arch.fpga is pretty light 
at weekends - many of the most active contributors are 
professionals in the field and regard monitoring the NG
as a useful part of their work,
whether for self-publicity, keeping up-to-date, or just generally
being helpful.  Such folk are more likely to respond to your 
Sunday-morning post some time on Monday.  And I'm not
sure that your question about Matlab version compatibility
really rates as "simple" - it sounds hard to me, but I'll
wager there are plenty of people here who can tell you.

For the record, my perception is that comp.arch.fpga is 
pretty open to anyone who's prepared to make the effort 
to articulate a pertinent question.  A nice contrast with
some other groups I've looked at!
-- 
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
jonathan.bromley@MYCOMPANY.com
http://www.MYCOMPANY.com

The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.

Article: 107988
Subject: Re: Please help me with (insert task here)
From: Jonathan Bromley <jonathan.bromley@MYCOMPANY.com>
Date: Mon, 04 Sep 2006 00:03:45 +0100
Links: << >>  << T >>  << A >>
On 3 Sep 2006 13:41:01 -0700, "PeteS" <PeterSmith1954@googlemail.com>
wrote:

>Bob Ferapples wrote:
>To save time and bandwidth, I have boiled down the vast majority of
>postings to this newsgroup into a quick and dirty little format that
>can speed things up.

A few years ago, someone posted a very funny take on this 
to sci.electronics.design.  It listed a large number of
spurious acronyms for use as responses to irritating 
querants - I can't find it now, but it was stuff like

WWTYBYMHY - We Won't Tell You Because You Might Hurt Yourself

(for use in response to people asking how to make a Tesla coil
out of paper clips and a car battery - you know the kind of thing.)

Anyone got a link to the original?
-- 
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
jonathan.bromley@MYCOMPANY.com
http://www.MYCOMPANY.com

The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.

Article: 107989
Subject: Re: Here are the URLs (was Re: Impossible to download WebPACK?)
From: "rickman" <gnuarm@gmail.com>
Date: 3 Sep 2006 16:16:48 -0700
Links: << >>  << T >>  << A >>
Brian Drummond wrote:
> On 2 Sep 2006 08:32:07 -0700, zwsdotcom@gmail.com wrote:
>
> >
> >Brian Drummond wrote:
> >
>
> >> You can either get creative about finding or guessing the actual
> >> download URL
> ...
> >wget --user={username} --password={password}
> >http://www.xilinx.com/webpack/index.htm
> >
> >then poke in the HTML file to get the direct download links (you can't
> >really read the HTML file easily without the CSS). And here they are:
>
> Creative enough! I usually resort to "view/page source" for the same
> purpose.
>
> Now all you have to do is hope both ends stay up for a 970MB download.
>
> (I pity anyone still in 56k-land who needs WebPack. I needed WebPack
> 6.1 before ADSL got here, and 240MB was quite bad enough...)

Hell, this download is so large it creates other problems.  I tried to
download it at work once and found it was too large to fit on a CD!!!
It proved impossible to get IT to install a DVD burner on my PC so I
had to get the local FAE to burn me a copy.  I could have bought a copy
of the DVD from Xilinx onlne, but I didn't have a project budget at
that point and I would have had to pay for it out of pocket.  I was
going to do that, but the FAE got in the loop.

I suggested to Xilinx that they split the download in two parts so it
can be burned onto two CDs rather than a DVD.  But obviously they don't
see the utility in that.  They spend a few bazillion dollars to create
a web pack and keep it working with all the upgrades, but they can't be
bothered enough to make it fit on CDs rather than a DVD.  I guess they
just don't know how difficult it can be to work for a defense
contractor.  If I dipped into my own pocket every time the bureaucracy
got in my way of doing work, I would owe them money at the end of the
week!

BTW, this is your money too, and Xilinx's!  They pay taxes too.    :^)


Article: 107990
Subject: Re: Here are the URLs (was Re: Impossible to download WebPACK?)
From: Duane Clark <junkmail@junkmail.com>
Date: Sun, 03 Sep 2006 23:31:41 GMT
Links: << >>  << T >>  << A >>
rickman wrote:
> ...
> I suggested to Xilinx that they split the download in two parts so it
> can be burned onto two CDs rather than a DVD.  But obviously they don't
> see the utility in that.  They spend a few bazillion dollars to create
> a web pack and keep it working with all the upgrades, but they can't be
> bothered enough to make it fit on CDs rather than a DVD...

As of ISE8.2 they no longer offer CDs to those of us actually paying for 
the full ISE (they gave notice of this change with 8.1). I personally 
prefer the DVDs, but can see where it might cause problems with others.

Article: 107991
Subject: Re: Spartan 3 and 5V input
From: joseph2k <quiettechblue@yahoo.com>
Date: Sun, 03 Sep 2006 23:41:37 GMT
Links: << >>  << T >>  << A >>
Nevo wrote:

> "Nevo" <nevo_n@hotmail.com> wrote in message
> news:zwrIg.2012$XD1.1382@trnddc01...
>> Newbie alert...
>>
>> I would like to drive my Spartan 3 design with inputs from a 5V source.
>> I've been unable to find anything in the Spartan 3 datasheet that lets me
>> know if this is do-able.  (The signal will be coming fom the parallel
>> port on my laptop, which I suspect probably is 3.3V but I want to be on
>> the safe side.)
>>
>> Any tips are appreciated.
>>
>> Thanks,
>>
>> -Nevo
> 
> Hm... I found http://direct.xilinx.com/bvdocs/appnotes/xapp179.pdf, which
> lists the 5V tolerant SelectIO standards for the Spartan 2 family (and
> implicitly says none of the standards are 5V tolerant in the Spartan 2E
> family), but I'm unable to find a simlar reference for the Spartan 3
> family.
> 
> Table 27, Absoulte Maximum Ratings under DC Electrical Characteristics,
> suggests that putting 5V into the chip is a no-no.
> 
> Hm; I think I'm going to answer my own question here by pointing myself to
> XAPP429 and a whole host of archived messages in comp.arch.fpga.

Nevo, i applaud your ability to post (partial) answers to your own
questions.  More people need that ability.  It shows the ability to
recognize when you have bypassed the limits of your sure knowledge; and the
perseverance to continue seeking yourself, instead of passively waiting for
"an/the answer".

-- 
 JosephKK
 Gegen dummheit kampfen die Gotter Selbst, vergebens.  
  --Schiller

Article: 107992
Subject: Re: Please help me with (insert task here)
From: Don Seglio <cbayona@cox.net>
Date: Sun, 03 Sep 2006 19:14:32 -0500
Links: << >>  << T >>  << A >>
Jonathan Bromley wrote:
> On Sun, 03 Sep 2006 17:00:20 -0500, Don Seglio 
> <cbayona@cox.net> wrote:
> 
>> I'm new to this group and been reading over the different articles, and 
>> a funny thing is I haven't seen any that was of the nature you have 
>> described.
> 
> Try watching a little longer - there are enough...
> 
>> Maybe others have a similar attitude and that would explain why I have 
>> received no replies to a simple question that the Xilinx site does not 
>> answer.
> 
> Errrm, maybe not.  Traffic on comp.arch.fpga is pretty light 
> at weekends - many of the most active contributors are 
> professionals in the field and regard monitoring the NG
> as a useful part of their work,
> whether for self-publicity, keeping up-to-date, or just generally
> being helpful.  Such folk are more likely to respond to your 
> Sunday-morning post some time on Monday.  And I'm not
> sure that your question about Matlab version compatibility
> really rates as "simple" - it sounds hard to me, but I'll
> wager there are plenty of people here who can tell you.
> 
> For the record, my perception is that comp.arch.fpga is 
> pretty open to anyone who's prepared to make the effort 
> to articulate a pertinent question.  A nice contrast with
> some other groups I've looked at!

It's simple in the sense that one has tried it and it works or it 
doesn't. Guessing won't help me. That is also why I asked about what 
software is shipping with the new kit's, if it a recent enough version 
it might work with the MatLab I have.

Once I break the wrapper on the package, I'm stuck with it so it would 
be nice to save a ton of money to know if it has been tried and if it 
worked before I end up wasting money I can't afford to waste.

Right now I tried to get it exchanged for R14 but my vendor says sorry 
all they can do is refund my money if it has not been opened, they did 
me a favor by sending me the new version since it cost a lot more than 
what I paid. I have about a week to figure out what I need to do.

-- 

Cecil
KD5NWA
www.qrpradio.com www.hpsdr.com

"Sacred Cows make the best Hamburger!"	Don Seglio Batuna

Article: 107993
Subject: How to resolve a Xilinx 8.1 BlockRAM problem
From: "Weng Tianxiang" <wtxwtx@gmail.com>
Date: 3 Sep 2006 17:35:19 -0700
Links: << >>  << T >>  << A >>
Hi,
I need your help.

My project uses BlockRAM by Xilinx.

I used CoreGenerator 6.2 to generate BlockRAM bram64_8,
and Xilinx free 8.1 ISE version.

By using code generated for ModelSim simulation, it works well
without any error.

But while compiling with Xilinx 8.1 ISE, it generates the
following errors:

ERROR:NgdBuild:604 - logical block
 'MG_x_A3/bram64_8_A/BU5' with type 'RAMB16' could not
 be resolved. A pin name misspelling can cause this,
 a missing edif or ngc file, or the misspelling of a type name.
 Symbol 'RAMB16' is not supported in target 'virtex2'.

I couldn't find any 'RAMB16' in my vhdl files.

What is wrong? How to correct it?

Thank you.

Weng


Article: 107994
Subject: Re: Performance Appraisals
From: "Michael A. Terrell" <mike.terrell@earthlink.net>
Date: Mon, 04 Sep 2006 01:13:37 GMT
Links: << >>  << T >>  << A >>
Joerg wrote:
> 
> Hello Frank,
> 
> >>>
> >>> So ... was "two days" bid as 16 hrs, or 48 hrs?
> >>>
> >>Our drill sergeant used to say that the day has 24 hours and when that
> >>ain't sufficient then there is still the night.
> >
> > Hahaha, that's a good one ;)
> >
> 
> Yes, the guys in our company thought so as well. However, when someone
> couldn't help but chuckle he went ballistic and screamed into his face.
> Basic training is often no fun.
> 
> The other things he told us probably dates back to the 18th century: "As
> civilians you came, as men you will leave" and "Anything that doesn't
> immediately lead to your death will make you tougher".
> 
> --
> Regards, Joerg


   And your DI wants you to believe that they know every trick in the
book. ;-)


-- 
Service to my country? Been there, Done that, and I've got my DD214 to
prove it.
Member of DAV #85.

Michael A. Terrell
Central Florida

Article: 107995
Subject: Re: Please help me with (insert task here)
From: "Luhan" <luhanis@yahoo.com>
Date: 3 Sep 2006 18:49:46 -0700
Links: << >>  << T >>  << A >>

Jonathan Bromley wrote:
> On 3 Sep 2006 13:41:01 -0700, "PeteS" <PeterSmith1954@googlemail.com>
> wrote:
>
> >Bob Ferapples wrote:
> >To save time and bandwidth, I have boiled down the vast majority of
> >postings to this newsgroup into a quick and dirty little format that
> >can speed things up.
>
> A few years ago, someone posted a very funny take on this
> to sci.electronics.design.  It listed a large number of
> spurious acronyms for use as responses to irritating
> querants - I can't find it now, but it was stuff like
>
> WWTYBYMHY - We Won't Tell You Because You Might Hurt Yourself
>
> (for use in response to people asking how to make a Tesla coil
> out of paper clips and a car battery - you know the kind of thing.)
>
> Anyone got a link to the original?
> --
> Jonathan Bromley, Consultant
>
> DOULOS - Developing Design Know-how
> VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services
>
> Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
> jonathan.bromley@MYCOMPANY.com
> http://www.MYCOMPANY.com
>
> The contents of this message may contain personal views which
> are not the views of Doulos Ltd., unless specifically stated.

I get irritated by those who post serious replies when the very content
of the question shows that the person has not a clue.  "Hi, I need to
design a control system for a nuclear reactor.  Can someone tell me
what a diode does?"

Aaaarrrrggg!

Luhan


Article: 107996
Subject: Re: Here are the URLs (was Re: Impossible to download WebPACK?)
From: Jim Granville <no.spam@designtools.maps.co.nz>
Date: Mon, 04 Sep 2006 14:39:42 +1200
Links: << >>  << T >>  << A >>
rickman wrote:

> Brian Drummond wrote:
> 
>>On 2 Sep 2006 08:32:07 -0700, zwsdotcom@gmail.com wrote:
>>
>>
>>>Brian Drummond wrote:
>>>
>>
>>>>You can either get creative about finding or guessing the actual
>>>>download URL
>>
>>...
>>
>>>wget --user={username} --password={password}
>>>http://www.xilinx.com/webpack/index.htm
>>>
>>>then poke in the HTML file to get the direct download links (you can't
>>>really read the HTML file easily without the CSS). And here they are:
>>
>>Creative enough! I usually resort to "view/page source" for the same
>>purpose.
>>
>>Now all you have to do is hope both ends stay up for a 970MB download.
>>
>>(I pity anyone still in 56k-land who needs WebPack. I needed WebPack
>>6.1 before ADSL got here, and 240MB was quite bad enough...)
> 
> 
> Hell, this download is so large it creates other problems.  I tried to
> download it at work once and found it was too large to fit on a CD!!!
> It proved impossible to get IT to install a DVD burner on my PC so I
> had to get the local FAE to burn me a copy.  I could have bought a copy
> of the DVD from Xilinx onlne, but I didn't have a project budget at
> that point and I would have had to pay for it out of pocket.  I was
> going to do that, but the FAE got in the loop.
> 
> I suggested to Xilinx that they split the download in two parts so it
> can be burned onto two CDs rather than a DVD.  But obviously they don't
> see the utility in that.  

They DO see the sense of split downloads in their datasheets -
just need to get their datasheet oublisher to talk to their SW publisher!!

> They spend a few bazillion dollars to create
> a web pack and keep it working with all the upgrades, but they can't be
> bothered enough to make it fit on CDs rather than a DVD.  I guess they
> just don't know how difficult it can be to work for a defense
> contractor.  If I dipped into my own pocket every time the bureaucracy
> got in my way of doing work, I would owe them money at the end of the
> week!
> 
> BTW, this is your money too, and Xilinx's!  They pay taxes too.    :^)
> 

Something like webpack _should_ partition very easily.
Here I can see ..\bin, ..\doc, and a host of device dependant resource


Wonder if they even looked at a better class of ZIP, or even a split 
into an installer, and zip data files.
Should be easy to copy what their datasheet team do: one large file, and 
also selective downloads.

-jg



Article: 107997
Subject: Re: How to resolve a Xilinx 8.1 BlockRAM problem
From: John_H <newsgroup@johnhandwork.com>
Date: Mon, 04 Sep 2006 02:41:39 GMT
Links: << >>  << T >>  << A >>
Weng Tianxiang wrote:
> Hi,
> I need your help.
> 
> My project uses BlockRAM by Xilinx.
> 
> I used CoreGenerator 6.2 to generate BlockRAM bram64_8,
> and Xilinx free 8.1 ISE version.
> 
> By using code generated for ModelSim simulation, it works well
> without any error.
> 
> But while compiling with Xilinx 8.1 ISE, it generates the
> following errors:
> 
> ERROR:NgdBuild:604 - logical block
>  'MG_x_A3/bram64_8_A/BU5' with type 'RAMB16' could not
>  be resolved. A pin name misspelling can cause this,
>  a missing edif or ngc file, or the misspelling of a type name.
>  Symbol 'RAMB16' is not supported in target 'virtex2'.
> 
> I couldn't find any 'RAMB16' in my vhdl files.
> 
> What is wrong? How to correct it?
> 
> Thank you.
> 
> Weng

Do you have your library specified?  I'm not a VHDL guy but I know the 
libraries are needed, in this case the unisim library in particular. 
The following is the first few lines from the control.vhd sample file 
for the Spartan3E Starter Kit:
_____________________________________________________________________

--
-- Definition of a dual port ROM for KCPSM2 or KCPSM3 program defined by 
control.psm
-- and assmbled using KCPSM2 or KCPSM3 assembler.
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--
-- The Unisim Library is used to define Xilinx primitives. It is also 
used during
-- simulation. The source can be viewed at 
%XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library unisim;
use unisim.vcomponents.all;
--
--

Article: 107998
Subject: Re: gpio help...
From: "Alan Nishioka" <alan@nishioka.com>
Date: 3 Sep 2006 20:25:15 -0700
Links: << >>  << T >>  << A >>
Dave wrote:
> I cannot simply write data to or read data from a GPIO port from the
> MicroBlaze.  The inputs and outputs go through conditioners.  Since I have
> to go through conditoners and the GPIO does not need to talk directly to the
> outside world, my question is can I map the GPIO_IN port pins to the
> conditoner output port pins without having to use actual FPGA pins?

I believe the gpio_in, gpio_d_out, gpio_t_out ports are supposed to
work like you want (with internal logic) but I seem to recall trying to
use them this way and failing.

I ended up adding logic to an existing opb peripheral that I had
already written.

Alan Nishioka


Article: 107999
Subject: Re: How to resolve a Xilinx 8.1 BlockRAM problem
From: "Weng Tianxiang" <wtxwtx@gmail.com>
Date: 3 Sep 2006 20:34:05 -0700
Links: << >>  << T >>  << A >>

John_H wrote:
> Weng Tianxiang wrote:
> > Hi,
> > I need your help.
> >
> > My project uses BlockRAM by Xilinx.
> >
> > I used CoreGenerator 6.2 to generate BlockRAM bram64_8,
> > and Xilinx free 8.1 ISE version.
> >
> > By using code generated for ModelSim simulation, it works well
> > without any error.
> >
> > But while compiling with Xilinx 8.1 ISE, it generates the
> > following errors:
> >
> > ERROR:NgdBuild:604 - logical block
> >  'MG_x_A3/bram64_8_A/BU5' with type 'RAMB16' could not
> >  be resolved. A pin name misspelling can cause this,
> >  a missing edif or ngc file, or the misspelling of a type name.
> >  Symbol 'RAMB16' is not supported in target 'virtex2'.
> >
> > I couldn't find any 'RAMB16' in my vhdl files.
> >
> > What is wrong? How to correct it?
> >
> > Thank you.
> >
> > Weng
>
> Do you have your library specified?  I'm not a VHDL guy but I know the
> libraries are needed, in this case the unisim library in particular.
> The following is the first few lines from the control.vhd sample file
> for the Spartan3E Starter Kit:
> _____________________________________________________________________
>
> --
> -- Definition of a dual port ROM for KCPSM2 or KCPSM3 program defined by
> control.psm
> -- and assmbled using KCPSM2 or KCPSM3 assembler.
> --
> -- Standard IEEE libraries
> --
> library IEEE;
> use IEEE.STD_LOGIC_1164.ALL;
> use IEEE.STD_LOGIC_ARITH.ALL;
> use IEEE.STD_LOGIC_UNSIGNED.ALL;
> --
> -- The Unisim Library is used to define Xilinx primitives. It is also
> used during
> -- simulation. The source can be viewed at
> %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
> --
> library unisim;
> use unisim.vcomponents.all;
> --
> --

Hi,
Yes, I used it as following statements show:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.MG_x_Constant.all;
LIBRARY unisim;
USE UNISIM.VCOMPONENTS.ALL;

The library is specified in the project and all modules are compiled
with errors:
WARNING:NgdBuild:486 - Attribute "INITP_07" is not allowed on symbol
"BU8" of
   type "RAMB16".  This attribute will be ignored.
WARNING:NgdBuild:486 - Attribute "SRVAL_A" is not allowed on symbol
"BU8" of
   type "RAMB16".  This attribute will be ignored.
WARNING:NgdBuild:486 - Attribute "SRVAL_B" is not allowed on symbol
"BU8" of
   type "RAMB16".  This attribute will be ignored.
WARNING:NgdBuild:486 - Attribute "WRITE_MODE_A" is not allowed on
symbol "BU8"
   of type "RAMB16".  This attribute will be ignored.
WARNING:NgdBuild:486 - Attribute "WRITE_MODE_B" is not allowed on
symbol "BU8"
   of type "RAMB16".  This attribute will be ignored.
ERROR:NgdBuild:604 - logical block
   'MG_x_A4/BlockRAM64_16_2_32k_00/bram64_16_A/BU8' with type 'RAMB16'
could not
   be resolved. A pin name misspelling can cause this, a missing edif
or ngc
   file, or the misspelling of a type name. Symbol 'RAMB16' is not
supported in
   target 'virtex2'.

I never used any signals appeared in the above warning and errors.

What I am doing now is download latest 8.2 ISE and re-generate all
Block RAM modules again and see if the above errors happens again.

Thank you.

Weng




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search