Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Threads Starting Jan 2004

64391: 04/01/01: A Day & A Knight: Question on partial reconfiguration flow...Must use EDIF flow?
    64418: 04/01/03: A Day & A Knight: Re: Question on partial reconfiguration flow...Must use EDIF flow?
64397: 04/01/01: valentin tihomirov: Getting up-to-date libraries for timing simulation
    64468: 04/01/05: Mike Treseler: Re: Getting up-to-date libraries for timing simulation
        64471: 04/01/05: valentin tihomirov: Re: Getting up-to-date libraries for timing simulation
64409: 04/01/02: Terrence Mak: Virtex2Pro + SysGen
64410: 04/01/02: sunil: help for Viterbi decoder design
    64416: 04/01/02: Rudolf Usselmann: Re: help for Viterbi decoder design
64411: 04/01/02: Farhan: Partitioning Problem in FPGA and Its Embedded PC Core
64417: 04/01/03: jk: Newbie Question: Compiling VHDL in Mentor Graphics
64419: 04/01/03: Simone Winkler: please help! state machine
    64422: 04/01/04: rAinStorms: Re: please help! state machine
        64429: 04/01/04: Simone Winkler: Re: please help! state machine
    64436: 04/01/04: Marc Randolph: Re: please help! state machine
    64452: 04/01/05: John Adair: Re: please help! state machine
64420: 04/01/03: joe: Response to ALuPin@web.de on high level simulation
64421: 04/01/03: Sumit Gupta: C-NIT based complete SoC + FPGAProto preview
64423: 04/01/03: Jon Elson: HDL Bencher question
64424: 04/01/04: A Day & A Knight: Complicated clocking in an FPGA.
    64426: 04/01/04: Simon Peacock: Re: Complicated clocking in an FPGA.
    64428: 04/01/04: Vinh Pham: Re: Complicated clocking in an FPGA.
64430: 04/01/04: Paul: is this a good idea
    64441: 04/01/04: Jerry: Re: is this a good idea
        64448: 04/01/05: Paul: Re: is this a good idea
            64449: 04/01/05: Muzaffer Kal: Re: is this a good idea
            64479: 04/01/05: John_H: Re: is this a good idea
        65319: 04/01/24: Kolja Sulimma: Re: is this a good idea
    64446: 04/01/04: Assaf Sarfati: Re: is this a good idea
        65300: 04/01/23: Ray Andraka: Re: is this a good idea
64431: 04/01/04: Paul: rs-232 trouble
    64433: 04/01/04: valentin tihomirov: Re: rs-232 trouble
        64440: 04/01/04: Paul: Re: rs-232 trouble
            64453: 04/01/05: valentin tihomirov: Re: rs-232 trouble
    64435: 04/01/04: Jean Nicolle: Re: rs-232 trouble
        64439: 04/01/04: Paul: Re: rs-232 trouble
64437: 04/01/04: ram: System Ace - Flash card formatting
    64958: 04/01/16: Stephen Williams: Re: System Ace - Flash card formatting
        64960: 04/01/16: Eric Crabill: Re: System Ace - Flash card formatting
64442: 04/01/04: owner: Xilinx Logicore PCI64 Problem
    64463: 04/01/05: Brannon King: Re: Xilinx Logicore PCI64 Problem
    64464: 04/01/05: Brannon King: Re: Xilinx Logicore PCI64 Problem
    64470: 04/01/05: Eric Crabill: Re: Xilinx Logicore PCI64 Problem
    64473: 04/01/05: Mark Schellhorn: Re: Xilinx Logicore PCI64 Problem
        64494: 04/01/05: owner: Re: Xilinx Logicore PCI64 Problem
    64502: 04/01/06: owner: Re: Xilinx Logicore PCI64 Problem
64443: 04/01/04: Maxlim: Floating point in Nios SDK
    64474: 04/01/05: Jesse Kempa: Re: Floating point in Nios SDK
64444: 04/01/05: Kelvin @ SG: Do all the Vertex DCM outs use same global clock tree?
    64462: 04/01/05: Austin Lesea: Re: Do all the Vertex DCM outs use same global clock tree?
64445: 04/01/05: Johan Bernspång: connecting tristates
    64490: 04/01/05: Paulo Dutra: Re: connecting tristates
64447: 04/01/05: Kelvin @ SG: How do I make use of local-clocks in a Virtex-2 FPGA?
    64475: 04/01/05: Steven K. Knapp: Re: How do I make use of local-clocks in a Virtex-2 FPGA?
64450: 04/01/05: ALuPin: Adding internal signals in MODELSIM
    64459: 04/01/05: ALuPin: Something additional: Adding internal signals in MODELSIM
        64460: 04/01/05: Nial Stewart: Re: Something additional: Adding internal signals in MODELSIM
        64488: 04/01/05: Andy Peters: Re: Something additional: Adding internal signals in MODELSIM
            64496: 04/01/05: ALuPin: Re: Something additional: Adding internal signals in MODELSIM
                64519: 04/01/06: Mike Treseler: Re: Something additional: Adding internal signals in MODELSIM
64454: 04/01/05: chi: how to set the ISP mode for programming CPLD?
    64455: 04/01/05: Amontec Team, Laurent Gauch: Re: how to set the ISP mode for programming CPLD?
        65029: 04/01/19: chi: Re: how to set the ISP mode for programming CPLD?
64458: 04/01/05: Jimmy: maxplus 2 waveform simulation
64461: 04/01/05: Henning Bahr: p160 connector
    64466: 04/01/05: Uwe Bonnes: Re: p160 connector
    64472: 04/01/05: PO Laprise: Re: p160 connector
64469: 04/01/05: Jeffrey Arnold: FCCM'04 Reminder -- submission deadline Jan 19
64476: 04/01/05: Lagudu Sateesh: DCM Synthesis - Certify Planner Error
64477: 04/01/05: J.F. FOURCADIER: Altera CPLD - Illegal assignment-global clock
    64480: 04/01/05: Mike Treseler: Re: Altera CPLD - Illegal assignment-global clock
    64486: 04/01/05: Dejan Durdenic: Re: Altera CPLD - Illegal assignment-global clock
64484: 04/01/05: T. Irmen: v2px70 available?
64487: 04/01/05: S Gupta: Release of SPARK C-to-VHDL Parallelizing High Level Synthesis tool
64489: 04/01/05: Brannon King: fast mod (remainder) algorithm for V2?
    64491: 04/01/05: Brannon King: Re: fast mod (remainder) algorithm for V2?
    64518: 04/01/06: Mike Treseler: Re: fast mod (remainder) algorithm for V2?
64495: 04/01/06: joe: Followup to those that downloaded SeaHDL/SimHDL
64497: 04/01/05: chi: Where i can get the programming sequence of CoolRunner?
    64527: 04/01/06: Amontec Team, Laurent Gauch: Re: Where i can get the programming sequence of CoolRunner?
    64530: 04/01/06: Peter Alfke: Re: Where i can get the programming sequence of CoolRunner?
        64586: 04/01/08: chi: Re: Where i can get the programming sequence of CoolRunner?
            64587: 04/01/08: Amontec Team, Laurent Gauch: Re: Where i can get the programming sequence of CoolRunner?
64498: 04/01/06: Kelvin @ SG: XST cant compile with blaxkboxes.
    64501: 04/01/06: Kelvin @ SG: Re: XST cant compile with blaxkboxes.
        64554: 04/01/07: Steven Elzinga: Re: XST cant compile with blaxkboxes.
64506: 04/01/06: Kelvin @ SG: Is the P&R processing time proportional to the FPGA gate count or the size of my logic?
    64536: 04/01/07: Ray Andraka: Re: Is the P&R processing time proportional to the FPGA gate count or
        64717: 04/01/12: Kelvin @ SG: Re: Is the P&R processing time proportional to the FPGA gate count or the size of my logic?
    64542: 04/01/07: Vinh Pham: Re: Is the P&R processing time proportional to the FPGA gate count or the size of my logic?
64507: 04/01/06: News sender: Installation of Xlinx
    64511: 04/01/06: John Adair: Re: Installation of Xlinx
    64517: 04/01/06: valentin tihomirov: Re: Installation of Xlinx
64508: 04/01/06: Jeff: Questions about guard bits in CORDIC algorithm
    64512: 04/01/06: Larry Doolittle: Re: Questions about guard bits in CORDIC algorithm
        64529: 04/01/06: Jeff: Re: Questions about guard bits in CORDIC algorithm
    64537: 04/01/07: Ray Andraka: Re: Questions about guard bits in CORDIC algorithm
        64572: 04/01/07: Jeff: Re: Questions about guard bits in CORDIC algorithm
64509: 04/01/06: jc: Xilinx Virtex II Output Register
    64513: 04/01/06: Brannon King: Re: Xilinx Virtex II Output Register
    64636: 04/01/09: Bret Wade: Re: Xilinx Virtex II Output Register
64514: 04/01/06: joey: VirtexE DLL locked range
    64522: 04/01/06: Austin Lesea: Re: VirtexE DLL locked range
64515: 04/01/06: Madhu: How do you initialize signals in VHDL?
    64516: 04/01/06: valentin tihomirov: Re: How do you initialize signals in VHDL?
    64520: 04/01/06: Jim Lewis: Re: How do you initialize signals in VHDL?
    64521: 04/01/06: Keith R. Williams: Re: How do you initialize signals in VHDL?
    64540: 04/01/07: Vinh Pham: Re: How do you initialize signals in VHDL?
64524: 04/01/06: Turquesa: readback spartan2e
    64526: 04/01/06: Chen Wei Tseng: Re: readback spartan2e
64525: 04/01/06: C...T: [newbie] How to get the value of active pins through JTAG
64528: 04/01/06: Adam: Simulating multi-chip design
    64545: 04/01/07: Mario Trams: Re: Simulating multi-chip design
64531: 04/01/06: Bhadri: AFX BG560 board
    64533: 04/01/07: Adam: Re: AFX BG560 board
        64949: 04/01/16: Bhadri: Re: AFX BG560 board
            65078: 04/01/20: Adam: Re: AFX BG560 board
64532: 04/01/06: Bhadri: Virtex and Spartan
    64544: 04/01/07: Mario Trams: Re: Virtex and Spartan
    64555: 04/01/07: Peter Alfke: Re: Virtex and Spartan
        64573: 04/01/07: Ray Andraka: Re: Virtex and Spartan
64534: 04/01/07: Kelvin @ SG: Where do XPP290 places top-level logic when all three AREA_GROUPs have DISALLOW_BOUNDARY_CROSSING on them?
64535: 04/01/07: Kelvin @ SG: Conversion of NCD files from 5.X to 6.1X, problem.
64538: 04/01/06: Jari: Generate the first interrupt for MB XMK
    64561: 04/01/07: mohan: Re: Generate the first interrupt for MB XMK
        64593: 04/01/08: Jari: Re: Generate the first interrupt for MB XMK
64539: 04/01/06: Vaughn Betz: FPGA CAD researchers: documentation, APIs, file formats & tutorials for academics to interface to Quartus
    64741: 04/01/12: Alexander Marquardt: Re: FPGA CAD researchers: documentation, APIs, file formats & tutorials for academics to interface to Quartus
64541: 04/01/07: etrac: SDRAM Controller timing problem
    64548: 04/01/07: Jonathan Bromley: Re: SDRAM Controller timing problem
    64569: 04/01/08: Verilog USER: Re: SDRAM Controller timing problem
        65094: 04/01/20: etrac: Re: SDRAM Controller timing problem
            65097: 04/01/20: Peter Alfke: Re: SDRAM Controller timing problem
            65107: 04/01/20: PO Laprise: Re: SDRAM Controller timing problem
                65121: 04/01/20: Ray Andraka: Re: SDRAM Controller timing problem
                    65169: 04/01/21: etrac: Re: SDRAM Controller timing problem
            65112: 04/01/20: Martin Euredjian: Re: SDRAM Controller timing problem
    64780: 04/01/13: Manfred Kraus: Re: SDRAM Controller timing problem
    65163: 04/01/21: Erik Widding: Re: SDRAM Controller timing problem
64547: 04/01/07: Amontec Team: IP or Core
    64632: 04/01/09: Mike Treseler: Re: IP or Core
64549: 04/01/07: Beregnyei Balazs: Clock domains
    64552: 04/01/07: Patrik Eriksson: Re: Clock domains
    64553: 04/01/07: Jonathan Bromley: Re: Clock domains
64550: 04/01/07: stan: Xilinx Question
    64551: 04/01/07: Andreas Sch.: Re: Xilinx Question
    64558: 04/01/07: Chris Carlen: Re: Xilinx Question
64556: 04/01/07: Christian Haase: plb_sdram, timing error
64557: 04/01/07: Tobias =?iso-8859-1?Q?M=F6glich?=: DPRAM using the CoreGenerator, VHDL-example
    64576: 04/01/08: Jay: Re: DPRAM using the CoreGenerator, VHDL-example
        64577: 04/01/08: Tobias =?iso-8859-1?Q?M=F6glich?=: Re: DPRAM using the CoreGenerator, VHDL-example
64559: 04/01/07: Chris Carlen: Synthesis in VHDL vs. Verilog
    64562: 04/01/07: Uwe Bonnes: Re: Synthesis in VHDL vs. Verilog
    64567: 04/01/07: Jeff Cunningham: Re: Synthesis in VHDL vs. Verilog
    64589: 04/01/08: B. Joshua Rosen: Re: Synthesis in VHDL vs. Verilog
        64616: 04/01/09: Thomas Stanka: Re: Synthesis in VHDL vs. Verilog
            64641: 04/01/09: B. Joshua Rosen: Re: Synthesis in VHDL vs. Verilog
                64696: 04/01/11: Jim Lewis: Re: Synthesis in VHDL vs. Verilog
                    64699: 04/01/11: Robert Sefton: Re: Synthesis in VHDL vs. Verilog
                        64740: 04/01/12: Jim Lewis: Re: Synthesis in VHDL vs. Verilog
                            64743: 04/01/13: Allan Herriman: Re: Synthesis in VHDL vs. Verilog
                                64783: 04/01/13: Jim Lewis: Re: Synthesis in VHDL vs. Verilog
                                    64785: 04/01/14: Allan Herriman: Re: Synthesis in VHDL vs. Verilog
                                        64788: 04/01/13: Jim Lewis: Re: Synthesis in VHDL vs. Verilog
                                            64802: 04/01/15: Allan Herriman: Re: Synthesis in VHDL vs. Verilog
                                        64790: 04/01/14: Hal Murray: Re: Synthesis in VHDL vs. Verilog
                                            64799: 04/01/15: Allan Herriman: Re: Synthesis in VHDL vs. Verilog
                                        64867: 04/01/15: Mike Treseler: Re: Synthesis in VHDL vs. Verilog
                            64808: 04/01/14: Andy Peters: Re: Synthesis in VHDL vs. Verilog
                    64702: 04/01/12: Bob Perlman: Re: Synthesis in VHDL vs. Verilog
                        64704: 04/01/12: Allan Herriman: Re: Synthesis in VHDL vs. Verilog
                            64735: 04/01/12: Bob Perlman: Re: Synthesis in VHDL vs. Verilog
                            64806: 04/01/14: Ray Andraka: Re: Synthesis in VHDL vs. Verilog
                                64829: 04/01/15: Allan Herriman: Re: Synthesis in VHDL vs. Verilog
                        64724: 04/01/12: Chris Carlen: Re: Synthesis in VHDL vs. Verilog --Thanks folks
                    64705: 04/01/12: Hal Murray: Re: Synthesis in VHDL vs. Verilog
                    64738: 04/01/12: Petter Gustad: Re: Synthesis in VHDL vs. Verilog
    64631: 04/01/09: Mike Treseler: Re: Synthesis in VHDL vs. Verilog
    64695: 04/01/11: Jim Lewis: Re: Synthesis in VHDL vs. Verilog
64560: 04/01/07: Jean Nicolle: Tutorials for ISE and Quartus
    64564: 04/01/07: Jean Nicolle: Re: Tutorials for ISE and Quartus
64563: 04/01/07: Yttrium: newbie question: speed grade + area constraint
    64566: 04/01/07: Peter Alfke: Re: newbie question: speed grade + area constraint
64565: 04/01/07: Alex Rast: Xilinx ECS - connecting a single net to multiple bus lines?
    64652: 04/01/10: Philip Freidin: Re: Xilinx ECS - connecting a single net to multiple bus lines?
        64744: 04/01/13: Alex Rast: Re: Xilinx ECS - connecting a single net to multiple bus lines?
        64786: 04/01/14: Marc Guardiani: Re: Xilinx ECS - connecting a single net to multiple bus lines?
    64697: 04/01/11: Hal Murray: Re: Xilinx ECS - connecting a single net to multiple bus lines?
64568: 04/01/07: Jon Elson: Wierd problem with Xilinx XC9572 ID code
    64570: 04/01/08: Leon Heller: Re: Wierd problem with Xilinx XC9572 ID code
64571: 04/01/07: Mois?s: iMPACT error : Done did not go high.
    64575: 04/01/08: Jay: Re: iMPACT error : Done did not go high.
    64718: 04/01/12: Egads: Re: iMPACT error : Done did not go high.
64574: 04/01/07: Anjan: spartan 3 sample
    64580: 04/01/08: Uwe Bonnes: Re: spartan 3 sample
        64601: 04/01/08: Ricky Sticky: Re: spartan 3 sample
            64614: 04/01/09: jim granville: Re: spartan 3 sample
64578: 04/01/08: Tobias =?iso-8859-1?Q?M=F6glich?=: old articels of this newsgroup
    64579: 04/01/08: Nicolas Matringe: Re: old articels of this newsgroup
    64653: 04/01/10: Philip Freidin: Re: old articels of this newsgroup
64581: 04/01/08: Paul: submodules with their own constraint files
    64628: 04/01/09: Mike Treseler: Re: submodules with their own constraint files
64582: 04/01/08: guille: min propagation delay in xilinx cpld
    64584: 04/01/08: John Adair: Re: min propagation delay in xilinx cpld
        64597: 04/01/08: Peter Alfke: Re: min propagation delay in xilinx cpld
        64708: 04/01/12: guille: Re: min propagation delay in xilinx cpld
            64727: 04/01/12: Peter Alfke: Re: min propagation delay in xilinx cpld
                64765: 04/01/13: John Adair: Re: min propagation delay in xilinx cpld
    64598: 04/01/08: Peter Alfke: Re: min propagation delay in xilinx cpld
        64635: 04/01/09: John_H: Re: min propagation delay in xilinx cpld
            64639: 04/01/09: Peter Alfke: Re: min propagation delay in xilinx cpld
            64647: 04/01/10: jim granville: Re: min propagation delay in xilinx cpld
        64709: 04/01/12: guille: Re: min propagation delay in xilinx cpld
64583: 04/01/08: sunil: Quantization levels of received symbol for viterbi decoder
    64857: 04/01/15: Oleg: Re: Quantization levels of received symbol for viterbi decoder
64585: 04/01/08: Kelvin @ SG: Local constant (VCC & GND) for partial reconfiguration.
64588: 04/01/08: Kelvin @ SG: ERROR:HDLCompilers:200 - ../../../src/iq_gen.v line 35 Target of defparam 'lut_vdd.init' does not exist
    64591: 04/01/08: Kelvin @ SG: Re: ERROR:HDLCompilers:200 - ../../../src/iq_gen.v line 35 Target of defparam 'lut_vdd.init' does not exist
        64655: 04/01/10: Philip Freidin: Re: ERROR:HDLCompilers:200 - ../../../src/iq_gen.v line 35 Target of defparam 'lut_vdd.init' does not exist
            64750: 04/01/13: Kelvin @ SG: Re: ERROR:HDLCompilers:200 - ../../../src/iq_gen.v line 35 Target of defparam 'lut_vdd.init' does not exist
                64754: 04/01/13: Philip Freidin: Re: ERROR:HDLCompilers:200 - ../../../src/iq_gen.v line 35 Target of defparam 'lut_vdd.init' does not exist
                    64981: 04/01/18: Kelvin @ SG: Re: ERROR:HDLCompilers:200 - ../../../src/iq_gen.v line 35 Target of defparam 'lut_vdd.init' does not exist
64590: 04/01/08: Kelvin @ SG: Improvement on the modular design methodology...
64592: 04/01/08: Tobias =?iso-8859-1?Q?M=F6glich?=: Dual Port RAM Block RAM using Core Generaot
    64609: 04/01/08: Tonny: Re: Dual Port RAM Block RAM using Core Generaot
64594: 04/01/08: Nick: Readbackn on Virtex II Pro devices
    64634: 04/01/09: Chen Wei Tseng: Re: Readbackn on Virtex II Pro devices
64599: 04/01/08: Martin Euredjian: Large/Fast static RAM
    64600: 04/01/08: Steven K. Knapp: Re: Large/Fast static RAM
    64602: 04/01/08: Uwe Bonnes: Re: Large/Fast static RAM
        64604: 04/01/08: Martin Euredjian: Re: Large/Fast static RAM
    64603: 04/01/08: Antonio Pasini: Re: Large/Fast static RAM
    64610: 04/01/08: Alex Rast: Re: Large/Fast static RAM
        64611: 04/01/08: Uwe Bonnes: Re: Large/Fast static RAM
            64613: 04/01/08: Peter Alfke: Re: Large/Fast static RAM
                64622: 04/01/09: George: Re: Large/Fast static RAM
                64638: 04/01/09: Martin Euredjian: Re: Large/Fast static RAM
                64648: 04/01/10: jim granville: Re: Large/Fast static RAM
    64644: 04/01/09: john jakson: Re: Large/Fast static RAM
        64650: 04/01/10: Martin Euredjian: Re: Large/Fast static RAM
64605: 04/01/08: Peter Jamieson: Verilog Benchmarks for FPGA research
64607: 04/01/08: B. Joshua Rosen: New HDLmaker release available
64608: 04/01/08: Patrick MacGregor: Anybody know what the REAL story is?
    64612: 04/01/08: Austin Lesea: Re: Anybody know what the REAL story is? Play it again? Sam? Oh
        64615: 04/01/09: jim granville: Re: Anybody know what the REAL story is? Play it again? Sam? Oh
            64623: 04/01/09: Austin Lesea: Re: Anybody know what the REAL story is? Jim figured it out.
                64630: 04/01/09: Patrick MacGregor: Re: Anybody know what the REAL story is? Jim figured it out.
                    64637: 04/01/09: Ralph Malph: Re: Anybody know what the REAL story is? Jim figured it out.
                        64646: 04/01/10: Hal Murray: Re: Anybody know what the REAL story is? Jim figured it out.
                            64649: 04/01/10: Ralph Malph: Re: Anybody know what the REAL story is? Jim figured it out.
                                64660: 04/01/10: tim colleran: clarity on Gibson Guitar Story(ies)
                                    64895: 04/01/16: Brian Dipert: Re: clarity on Gibson Guitar Story(ies)
                            64661: 04/01/10: Mike Treseler: Re: Anybody know what the REAL story is? Jim figured it out.
    64745: 04/01/13: Dipl.-Ing. Andreas Schmidt: Re: Anybody know what the REAL story is?
    64782: 04/01/13: Andy Peters: Re: Anybody know what the REAL story is?
64617: 04/01/09: jk: Newbie Question: No Vsim, Vlib etc in my ModelSim
    64619: 04/01/09: Anders Hellerup Madsen: Re: Newbie Question: No Vsim, Vlib etc in my ModelSim
    64626: 04/01/09: Alan Fitch: Re: Newbie Question: No Vsim, Vlib etc in my ModelSim
        64751: 04/01/13: jk: Re: Newbie Question: No Vsim, Vlib etc in my ModelSim
64618: 04/01/09: Kolja Sulimma: Spartan3 IOB without supply
    64624: 04/01/09: Austin Lesea: Re: Spartan3 IOB without supply
        64657: 04/01/10: Kolja Sulimma: Re: Spartan3 IOB without supply
64620: 04/01/09: Bernd Scheuermann: Job offer: "Optimization on reconfigurable architectures"
64621: 04/01/09: George: FLASH memory programming with Altera NIOS and same question for Xilinx
    64625: 04/01/09: David Brown: Re: FLASH memory programming with Altera NIOS and same question for Xilinx
        64629: 04/01/09: Ryan Laity: Re: FLASH memory programming with Altera NIOS and same question for
        64640: 04/01/09: George: Re: FLASH memory programming with Altera NIOS and same question for Xilinx
            64668: 04/01/11: Petter Gustad: Re: FLASH memory programming with Altera NIOS and same question for Xilinx
    64642: 04/01/09: Alan Nishioka: Re: FLASH memory programming with Altera NIOS and same question for Xilinx
64633: 04/01/09: Brannon King: Re: FPGA Size
    64645: 04/01/10: John Retta: Re: FPGA Size
64643: 04/01/09: Chris: FPGA Size
    64654: 04/01/10: valentin tihomirov: Re: FPGA Size
    64673: 04/01/11: Yttrium: Re: FPGA Size
64651: 04/01/10: Keith R. Bolson: ISE6.1 rom16X1 initialization INIT
    64656: 04/01/10: Philip Freidin: Re: ISE6.1 rom16X1 initialization INIT
64658: 04/01/10: Kelvin @ SG: What is wrong with my DCM experiment? How come the testbench won't simulate DCM1.
64659: 04/01/10: valentin tihomirov: Dedicated CLK lines in CPLD
    64662: 04/01/10: tbx135: Re: Dedicated CLK lines in CPLD
    64663: 04/01/10: Karl Olsen: Re: Dedicated CLK lines in CPLD
        64672: 04/01/11: valentin tihomirov: Re: Dedicated CLK lines in CPLD
            64676: 04/01/11: tbx135: Re: Dedicated CLK lines in CPLD
                64698: 04/01/12: Karl Olsen: Re: Dedicated CLK lines in CPLD
64664: 04/01/10: Rene Tschaggelar: Altera Cyclone Serial Configuration devices.
    64667: 04/01/10: Mike Treseler: Re: Altera Cyclone Serial Configuration devices.
        64669: 04/01/11: Simon Peacock: Re: Altera Cyclone Serial Configuration devices.
        64770: 04/01/13: Richard Temple: Re: Altera Cyclone Serial Configuration devices.
    64684: 04/01/11: Ben Popoola: Re: Altera Cyclone Serial Configuration devices.
        64689: 04/01/11: Rene Tschaggelar: Re: Altera Cyclone Serial Configuration devices.
64665: 04/01/10: remis norvilis: Spartan-3 LC Development Kit from Insight (Memec)
    64670: 04/01/11: Ralph Malph: Re: Spartan-3 LC Development Kit from Insight (Memec)
        64671: 04/01/11: Remis Norvilis: Re: Spartan-3 LC Development Kit from Insight (Memec)
            64680: 04/01/11: Ralph Malph: Re: Spartan-3 LC Development Kit from Insight (Memec)
64666: 04/01/10: Rene Tschaggelar: Programming and debugging the Altera Cyclone family
    64685: 04/01/11: Ben Popoola: Re: Programming and debugging the Altera Cyclone family
        64688: 04/01/11: Rene Tschaggelar: Re: Programming and debugging the Altera Cyclone family
            64690: 04/01/11: Ben Popoola: Re: Programming and debugging the Altera Cyclone family
                64691: 04/01/11: Rene Tschaggelar: Re: Programming and debugging the Altera Cyclone family
                    64693: 04/01/11: Ben Popoola: Re: Programming and debugging the Altera Cyclone family
                        64722: 04/01/12: Nial Stewart: Re: Programming and debugging the Altera Cyclone family
                            64955: 04/01/16: Greg Steinke: Re: Programming and debugging the Altera Cyclone family
                                64987: 04/01/18: Nial Stewart: Re: Programming and debugging the Altera Cyclone family
                                    64988: 04/01/18: Petter Gustad: Re: Programming and debugging the Altera Cyclone family
                            65303: 04/01/23: Sabrina: Re: Programming and debugging the Altera Cyclone family
64674: 04/01/11: Hakjs: Xilinx ISE6.1 Verilog `define macro?
64677: 04/01/11: Jaromir Kolouch: PCB for FG456: layers
    64687: 04/01/11: Martin Euredjian: Re: PCB for FG456: layers
    64694: 04/01/11: Philip Freidin: Re: PCB for FG456: layers Please dont use the Xilinx gateway to post articles
    64686: 04/01/11: Mike Treseler: Re: image file reading in vhdl
        64711: 04/01/12: Martin Thompson: Re: image file reading in vhdl
64679: 04/01/11: suneetha: image file reading in vhdl
64682: 04/01/11: Rene Tschaggelar: Altera Cyclone data is incomplete or messy
    64703: 04/01/11: Ralph Malph: Re: Altera Cyclone data is incomplete or messy
        64739: 04/01/12: Rene Tschaggelar: Re: Altera Cyclone data is incomplete or messy
            64760: 04/01/13: Hal Murray: Re: Altera Cyclone data is incomplete or messy
                64814: 04/01/14: Ralph Malph: Re: Altera Cyclone data is incomplete or messy
        64819: 04/01/14: Peter Alfke: Re: Altera Cyclone data is incomplete or messy
            64823: 04/01/14: Rene Tschaggelar: Re: Altera Cyclone data is incomplete or messy
                64830: 04/01/14: Peter Alfke: Re: Altera Cyclone data is incomplete or messy
                    64844: 04/01/15: erojr: Re: Altera Cyclone data is incomplete or messy
                    64853: 04/01/15: Rene Tschaggelar: Re: Altera Cyclone data is incomplete or messy
            64828: 04/01/14: Ralph Malph: Re: Altera Cyclone data is incomplete or messy
                64831: 04/01/14: Peter Alfke: Re: Altera Cyclone data is incomplete or messy
                    64833: 04/01/14: Ralph Malph: Re: Altera Cyclone data is incomplete or messy
                64847: 04/01/15: jim granville: Re: Altera Cyclone data is incomplete or messy
            64841: 04/01/15: glen herrmannsfeldt: Thermal characteristics of FPGA
    64710: 04/01/12: Nial Stewart: Re: Altera Cyclone data is incomplete or messy
    64791: 04/01/13: Vaughn Betz: Re: Altera Cyclone data is incomplete or messy
        64813: 04/01/14: Rene Tschaggelar: Re: Altera Cyclone data is incomplete or messy
            64924: 04/01/16: Vaughn Betz: Re: Altera Cyclone data is incomplete or messy
                64950: 04/01/16: Rene Tschaggelar: Re: Altera Cyclone data is incomplete or messy
64683: 04/01/11: Rene Tschaggelar: Altera Cyclone Programming device programming
    64882: 04/01/15: Neil Glenn Jacobson: Re: Altera Cyclone Programming device programming
        64953: 04/01/16: Greg Steinke: Re: Altera Cyclone Programming device programming
    64965: 04/01/16: Subroto Datta: Re: Altera Cyclone Programming device programming
64692: 04/01/11: tbx135: Protecting Designs - any suggestions
64700: 04/01/11: Sachin: Error message in Mapping while using Xilinx ISE 6.1.03i
64701: 04/01/11: Jack: Altera NIOS cyclone edition development board problem
    64769: 04/01/13: Richard Temple: Re: Altera NIOS cyclone edition development board problem
    64816: 04/01/14: Ben Twijnstra: Re: Altera NIOS cyclone edition development board problem
        64839: 04/01/14: Jack: Re: Altera NIOS cyclone edition development board problem
            64845: 04/01/15: Petter Gustad: Re: Altera NIOS cyclone edition development board problem
                64865: 04/01/15: Ken Land: Re: Altera NIOS cyclone edition development board problem
64706: 04/01/12: Sleep Mode: How to generate a CSA tree?
    64729: 04/01/12: Peter Alfke: Re: How to generate a CSA tree?
        64763: 04/01/13: Rudolf Usselmann: Re: How to generate a CSA tree?
            64773: 04/01/13: Mike Treseler: Re: How to generate a CSA tree?
    64764: 04/01/13: Uwe Bonnes: Re: How to generate a CSA tree?
    64990: 04/01/18: Ray Andraka: Re: How to generate a CSA tree?
        64991: 04/01/18: Ray Andraka: Re: How to generate a CSA tree?
64707: 04/01/12: Sean Durkin: V2P7 Partial reconfiguration, FATAL_ERROR in par
64712: 04/01/12: Yann Thoma: Modify Memory after P&R in Xilinx Virtex2
    64713: 04/01/12: steven derrien: Re: Modify Memory after P&R in Xilinx Virtex2
        64723: 04/01/12: Yttrium: Re: Modify Memory after P&R in Xilinx Virtex2
64715: 04/01/12: Matthias =?iso-8859-1?Q?M=FCller?=: pci-x core
    64716: 04/01/12: Mark Schellhorn: Re: pci-x core
64719: 04/01/12: lecroy: Why won't Xilinx document their code??
    64967: 04/01/16: Ray Andraka: Re: Why won't Xilinx document their code??
64720: 04/01/12: bob: fpga database?
    64721: 04/01/12: Ralph Malph: Re: fpga database?
    64726: 04/01/12: Larry Doolittle: Re: fpga database?
64725: 04/01/12: wasp: Xilinx JBit v1.x
64728: 04/01/12: Kjetil Eriksen Vistnes: The Fifo in xapp258
    64761: 04/01/13: Rudolf Usselmann: Re: The Fifo in xapp258
64730: 04/01/12: Max: IOB costraints
64731: 04/01/12: Max: to generate steps in phase
64732: 04/01/12: Dan DeConinck: Power plane assignments in a Xilinx PCI card
    64746: 04/01/13: Martin Euredjian: Re: Power plane assignments in a Xilinx PCI card
    64748: 04/01/13: Matt: Re: Power plane assignments in a Xilinx PCI card
64733: 04/01/12: David Pellerin: ANNOUNCE: Impulse CoDeveloper for MicroBlaze & Nios FPGAs now available
64734: 04/01/12: Tobias =?iso-8859-1?Q?M=F6glich?=: using signal as clk source
    64749: 04/01/13: Jay: Re: using signal as clk source
        64772: 04/01/13: Tobias =?iso-8859-1?Q?M=F6glich?=: Re: using signal as clk source
            64781: 04/01/13: Steven K. Knapp: Re: using signal as clk source
            64784: 04/01/13: Peter Alfke: Re: using signal as clk source
64736: 04/01/12: Adarsh Kumar Jain: V2Pro Rocket IO Primitive- Parameter and Port Settings
    64753: 04/01/13: Martin Kellermann: Re: V2Pro Rocket IO Primitive- Parameter and Port Settings
64737: 04/01/12: Simone Winkler: Making XAPP134 synthesizable
64742: 04/01/12: Matt: System Generator and Microblaze
64747: 04/01/12: Sumit Gupta: SPARK now supports Windows & Xilinx XST
64752: 04/01/13: jk: Integer or Binary Vector?
64755: 04/01/12: S Gupta: SPARK C-to-VHDL Synthesis tool now supports Windows & Xilinx XST
64756: 04/01/13: ALuPin: Simulation model for UTMI available ?
64757: 04/01/13: Jean Nicolle: Send Ethernet traffic from an FPGA
    64759: 04/01/13: Allan Herriman: Re: Send Ethernet traffic from an FPGA
        64775: 04/01/13: Jean Nicolle: Re: Send Ethernet traffic from an FPGA
            64811: 04/01/14: Jean Nicolle: Re: Send Ethernet traffic from an FPGA
                64812: 04/01/14: Uwe Bonnes: Re: Send Ethernet traffic from an FPGA
                    64998: 04/01/18: Jean Nicolle: Re: Send Ethernet traffic from an FPGA
                64832: 04/01/15: Allan Herriman: Re: Send Ethernet traffic from an FPGA
                    64999: 04/01/18: Jean Nicolle: Re: Send Ethernet traffic from an FPGA
                        65005: 04/01/18: glen herrmannsfeldt: Re: Send Ethernet traffic from an FPGA
                            65023: 04/01/19: Jean Nicolle: Re: Send Ethernet traffic from an FPGA
                                65025: 04/01/19: Allan Herriman: Re: Send Ethernet traffic from an FPGA
                                    65085: 04/01/20: Jean Nicolle: Re: Send Ethernet traffic from an FPGA
                                        65280: 04/01/23: Allan Herriman: Re: Send Ethernet traffic from an FPGA
                                            65298: 04/01/23: Jean Nicolle: Re: Send Ethernet traffic from an FPGA
64758: 04/01/13: guille: 'universal delay' term in Xilinx parts
64762: 04/01/13: Kelvin @ SG: WARNING:MapLib:596 - Bad port net PORTTYPE, sig bus0_bm(15)
64766: 04/01/13: Amontec Team, Laurent Gauch: simulating xilinx clkdll
    64793: 04/01/14: ???: Re: simulating xilinx clkdll
        64820: 04/01/14: Amontec Team, Laurent Gauch: Re: simulating xilinx clkdll
64767: 04/01/13: Henning Bahr: Error: (vsim-3341) Cannot open file
    64774: 04/01/13: Mike Treseler: Re: Error: (vsim-3341) Cannot open file
64768: 04/01/13: Konrad Eisele: Open source ARM, Version 0.1
    64815: 04/01/14: Ralph Malph: Re: Open source ARM, Version 0.1
64776: 04/01/13: Mark Schellhorn: logicore PCIX issue/question
    64777: 04/01/13: Brannon King: Re: logicore PCIX issue/question
        64778: 04/01/13: Mike Treseler: Re: logicore PCIX issue/question
    64779: 04/01/13: Eric Crabill: Re: logicore PCIX issue/question
        64800: 04/01/14: Mark Schellhorn: Re: logicore PCIX issue/question
            64809: 04/01/14: Eric Crabill: Re: logicore PCIX issue/question
                64884: 04/01/15: Mark Schellhorn: Re: logicore PCIX issue/question
64787: 04/01/13: cruzin: Nios memory
64792: 04/01/13: Vaughn Betz: How to explicitly call out cell elements in Altera Stratix (Follow-up)
64794: 04/01/14: Maxlim: nios-build debug option
    64796: 04/01/14: David Brown: Re: nios-build debug option
64795: 04/01/14: wasp: Simulation model of SRAM
64797: 04/01/14: Kelvin @ SG: How do I constrain this type of design?
64798: 04/01/14: chi: Can i get a sample XSVF file?
    64825: 04/01/15: Amontec Team, Laurent Gauch: Re: Can i get a sample XSVF file?
    64838: 04/01/14: ram: Re: Can i get a sample XSVF file?
64803: 04/01/15: Hidemi Ishihara: Installed Xilinx ISE6.1i on the Fedora
64805: 04/01/14: Edward Buckley: Microblaze simulation
    64840: 04/01/14: ram: Re: Microblaze simulation
        64851: 04/01/15: Richard Temple: Re: Microblaze simulation
        64893: 04/01/15: Steve Lass: Re: Microblaze simulation
64807: 04/01/14: Barry Brown: Virtex II - LVDS_33_DCI?
    64836: 04/01/14: Brian Davis: Re: Virtex II - LVDS_33_DCI?
64810: 04/01/14: john williams: translating .jed files to equations
    64818: 04/01/15: jim granville: Re: translating .jed files to equations
        64821: 04/01/14: Amontec Team, Laurent Gauch: Re: translating .jed files to equations
64824: 04/01/14: Martin Euredjian: Faster than a speeding bullet...
    64834: 04/01/15: Paul Leventis (at home): Re: Faster than a speeding bullet...
        64835: 04/01/15: Martin Euredjian: Re: Faster than a speeding bullet...
            64837: 04/01/14: Robert Sefton: Re: Faster than a speeding bullet...
                64886: 04/01/15: Martin Euredjian: Re: Faster than a speeding bullet...
                    64964: 04/01/16: Greg Steinke: Re: Faster than a speeding bullet...
                    65014: 04/01/18: john jakson: Re: Faster than a speeding bullet...
64826: 04/01/14: Martin Euredjian: XC2V1000-5FG456C
    64827: 04/01/14: Martin Euredjian: Re: XC2V1000-5FG456C
64842: 04/01/14: cruzin: What does nios-run do?
    64863: 04/01/15: fabbl: Re: What does nios-run do?
    64918: 04/01/16: Petter Gustad: Re: What does nios-run do?
        64983: 04/01/17: cruzin: Re: What does nios-run do?
            64985: 04/01/18: Nial Stewart: Re: What does nios-run do?
                65006: 04/01/18: cruzin: Re: What does nios-run do?
64843: 04/01/15: CW: 1.8v SpartanIIE
    64852: 04/01/15: Egads: Re: 1.8v SpartanIIE
        64861: 04/01/15: Peter Alfke: Re: 1.8v SpartanIIE
64846: 04/01/15: guille: Gray encoding for FSM
    64848: 04/01/15: jim granville: Re: Gray encoding for FSM
        64914: 04/01/16: guille: Re: Gray encoding for FSM
            64956: 04/01/17: jim granville: Re: Gray encoding for FSM
    64885: 04/01/15: Andy Peters: Re: Gray encoding for FSM
    64904: 04/01/15: Thomas Stanka: Re: Gray encoding for FSM
        64915: 04/01/16: guille: Re: Gray encoding for FSM
64849: 04/01/15: chuk: Generating clock delays
    64850: 04/01/15: Mario Trams: Re: Generating clock delays
    64854: 04/01/15: Rene Tschaggelar: Re: Generating clock delays
    64859: 04/01/15: Peter Alfke: Re: Generating clock delays
        64951: 04/01/16: Rene Tschaggelar: Re: Generating clock delays
            64954: 04/01/16: Peter Alfke: Re: Generating clock delays
    64881: 04/01/15: Andy Peters: Re: Generating clock delays
64855: 04/01/15: Kelvin @ SG: Which version of ISE Webpack has FPGA Editor on it?
    64862: 04/01/15: Uwe Bonnes: Re: Which version of ISE Webpack has FPGA Editor on it?
    64910: 04/01/16: Hal Murray: Re: Which version of ISE Webpack has FPGA Editor on it?
        65074: 04/01/19: Brian Davis: Re: Which version of ISE Webpack has FPGA Editor on it?
    64959: 04/01/16: Stephen Williams: Re: Which version of ISE Webpack has FPGA Editor on it?
        64980: 04/01/18: Kelvin @ SG: Re: Which version of ISE Webpack has FPGA Editor on it?
            65034: 04/01/19: John_H: Re: Which version of ISE Webpack has FPGA Editor on it?
                65062: 04/01/19: Ralph Malph: Re: Which version of ISE Webpack has FPGA Editor on it?
                65089: 04/01/20: jacky Renaux: Re: Which version of ISE Webpack has FPGA Editor on it?
                    65093: 04/01/20: Uwe Bonnes: Re: Which version of ISE Webpack has FPGA Editor on it?
                    65140: 04/01/21: Philip Freidin: Re: Which version of ISE Webpack has FPGA Editor on it?
64856: 04/01/15: ALuPin: Port mapping a Verilog component in a VHDL design
    64858: 04/01/15: fabbl: Re: Port mapping a Verilog component in a VHDL design
        64968: 04/01/16: Ray Andraka: Re: Port mapping a Verilog component in a VHDL design
64860: 04/01/15: Bob: Please help with Xilinx ISE Schematic question
    64891: 04/01/15: Marc Guardiani: Re: Please help with Xilinx ISE Schematic question
    64905: 04/01/15: Antti Lukats: Re: Please help with Xilinx ISE Schematic question
    64931: 04/01/16: Jim Lewis: Re: Please help with Xilinx ISE Schematic question
64864: 04/01/15: Chris Carlen: timescale
    64945: 04/01/16: Chris Carlen: Re: timescale
64866: 04/01/15: Adarsh Kumar Jain: Virtex 2 Pro : Rocket IO Simulation Problem
64868: 04/01/15: Brannon King: DMA w/ Xilinx PCIX core: speed results and question
    64877: 04/01/15: Eric Crabill: Re: DMA w/ Xilinx PCIX core: speed results and question
        64929: 04/01/16: Brannon King: Re: DMA w/ Xilinx PCIX core: speed results and question
    64878: 04/01/15: Brannon King: Re: DMA w/ Xilinx PCIX core: speed results and question
        64879: 04/01/15: Mark Schellhorn: Re: DMA w/ Xilinx PCIX core: speed results and question
            64887: 04/01/15: Eric Crabill: Re: DMA w/ Xilinx PCIX core: speed results and question
            64892: 04/01/15: Brannon King: Re: DMA w/ Xilinx PCIX core: speed results and question
                64926: 04/01/16: Mark Schellhorn: Re: DMA w/ Xilinx PCIX core: speed results and question
    64897: 04/01/15: Andy Peters: Re: DMA w/ Xilinx PCIX core: speed results and question
64869: 04/01/15: Brannon King: yo, Mr. FPGA Engineer
    64870: 04/01/15: Uwe Bonnes: Re: yo, Mr. FPGA Engineer
    64873: 04/01/15: Austin Lesea: Re: yo, Mr. FPGA Engineer
    64874: 04/01/15: Peter Alfke: Re: yo, Mr. FPGA Engineer
    64875: 04/01/15: Brannon King: Re: yo, Mr. FPGA Engineer
        64883: 04/01/15: Martin Euredjian: Re: yo, Mr. FPGA Engineer
        64888: 04/01/15: Leon Heller: Re: yo, Mr. FPGA Engineer
        64889: 04/01/15: Austin Lesea: Re: yo, Mr. FPGA Engineer
        64933: 04/01/16: fabbl: Re: yo, Mr. FPGA Engineer
    64876: 04/01/15: Eric Crabill: Re: yo, Mr. FPGA Engineer
    64898: 04/01/16: Paul Leventis (at home): Re: yo, Mr. FPGA Engineer
        64921: 04/01/16: erojr: Re: yo, Mr. FPGA Engineer
    64899: 04/01/15: Jesse Kempa: Re: yo, Mr. FPGA Engineer
64871: 04/01/15: Brannon King: mapper optimization
    64890: 04/01/15: Mike Treseler: Re: mapper optimization
    64900: 04/01/16: John Retta: Re: mapper optimization
    64962: 04/01/16: Paul Leventis (at home): Re: mapper optimization
64872: 04/01/15: Andre: after the synthesis total logic elements are equal zero
    64989: 04/01/18: Pratip Mukherjee: Re: after the synthesis total logic elements are equal zero
64880: 04/01/15: Steve T Shannon: Spartan-IIE as an ASYNC RAM?
    64896: 04/01/16: Allan Herriman: Re: Spartan-IIE as an ASYNC RAM?
        64901: 04/01/15: Ralph Malph: Re: Spartan-IIE as an ASYNC RAM?
            64902: 04/01/16: Allan Herriman: Re: Spartan-IIE as an ASYNC RAM?
                64922: 04/01/16: Steve T Shannon: Re: Spartan-IIE as an ASYNC RAM?
                    64930: 04/01/17: Allan Herriman: Re: Spartan-IIE as an ASYNC RAM?
                64943: 04/01/16: Ralph Malph: Re: Spartan-IIE as an ASYNC RAM?
    64912: 04/01/16: Hal Murray: Re: Spartan-IIE as an ASYNC RAM?
64903: 04/01/15: Jasmine Hau: Can nios_gnupro support file system?
    64907: 04/01/16: David Brown: Re: Can nios_gnupro support file system?
        64911: 04/01/16: Goran Bilski: Re: Can nios_gnupro support file system?
            64917: 04/01/16: David Brown: Re: Can nios_gnupro support file system?
            64969: 04/01/16: Jasmine Hau: Re: Can nios_gnupro support file system?
                65022: 04/01/19: David Brown: Re: Can nios_gnupro support file system?
64906: 04/01/16: Kelvin @ SG: Error in Assembly stage.
64908: 04/01/16: Alex Rast: Hardware to test (FPGA-based) prototype?
    64913: 04/01/16: Hal Murray: Re: Hardware to test (FPGA-based) prototype?
    64919: 04/01/16: Hans-Bernhard Broeker: Re: Hardware to test (FPGA-based) prototype?
        64925: 04/01/16: CBFalconer: Re: Hardware to test (FPGA-based) prototype?
            64963: 04/01/17: Alex Rast: Re: Hardware to test (FPGA-based) prototype?
                64973: 04/01/17: Hal Murray: Re: Hardware to test (FPGA-based) prototype?
                64975: 04/01/17: Nico Coesel: Re: Hardware to test (FPGA-based) prototype?
                    65013: 04/01/18: john jakson: Re: Hardware to test (FPGA-based) prototype?
                64993: 04/01/18: Mike Treseler: Re: Hardware to test (FPGA-based) prototype?
                    65067: 04/01/20: Alex Rast: Re: Hardware to test (FPGA-based) prototype?
                        65102: 04/01/20: Mike Treseler: Re: Hardware to test (FPGA-based) prototype?
                            65122: 04/01/21: Alex Rast: Re: Hardware to test (FPGA-based) prototype?
                                65178: 04/01/21: Ray Andraka: Re: Hardware to test (FPGA-based) prototype?
    64920: 04/01/16: Nial Stewart: Re: Hardware to test (FPGA-based) prototype?
    64948: 04/01/16: Rene Tschaggelar: Re: Hardware to test (FPGA-based) prototype?
64909: 04/01/16: ALuPin: Simulating USB2.0Transceiver
64916: 04/01/16: Nicolas Matringe: Power-up input value detection
    64936: 04/01/16: fabbl: Re: Power-up input value detection
    64970: 04/01/17: Hal Murray: Re: Power-up input value detection
64923: 04/01/16: Kolja Sulimma: Spartan-3 VCCINT
    64927: 04/01/16: fabbl: Re: Spartan-3 VCCINT
        64934: 04/01/16: Austin Lesea: Re: Spartan-3 VCCINT
            64986: 04/01/18: Kolja Sulimma: Re: Spartan-3 VCCINT
64928: 04/01/16: Nikola Dragas: WebPACK and foldback nands
64932: 04/01/16: Brannon King: mapper optimization
    64977: 04/01/17: Brian Drummond: Re: mapper optimization
64935: 04/01/16: AJ: Avnet Virtex-II Pro Development Kit Help
    64952: 04/01/16: Clark Pope: Re: Avnet Virtex-II Pro Development Kit Help
        65401: 04/01/27: AJ: Re: Avnet Virtex-II Pro Development Kit Help
64938: 04/01/16: Patrick Browne: Can XILINX run in multiple instances?
    64939: 04/01/16: Brannon King: Re: Can XILINX run in multiple instances?
    64971: 04/01/17: Hal Murray: Re: Can XILINX run in multiple instances?
    64982: 04/01/18: Bob Perlman: Re: Can XILINX run in multiple instances?
        65044: 04/01/19: Patrick Browne: Re: Can XILINX run in multiple instances?
            65047: 04/01/19: Bob Perlman: Re: Can XILINX run in multiple instances?
                65109: 04/01/20: Patrick Browne: Re: Can XILINX run in multiple instances?
            65345: 04/01/25: Philip Freidin: Re: Can XILINX run in multiple instances?
    64995: 04/01/18: Mike Treseler: Re: Can XILINX run in multiple instances?
64940: 04/01/16: x86asm: Good software to experiment with VHDL
    64944: 04/01/16: Stefan Frank: Re: Good software to experiment with VHDL
    64957: 04/01/16: Mike Treseler: Re: Good software to experiment with VHDL
64941: 04/01/16: Adarsh Kumar Jain: so nobody knows how to simulate Rocket IO using Active HDL ?
64942: 04/01/16: jean-francois hasson: Impact of voltage variations on timings for an FPGA
    64947: 04/01/16: Austin Lesea: Re: Impact of voltage variations on timings for an FPGA
    64961: 04/01/16: Paul Leventis (at home): Re: Impact of voltage variations on timings for an FPGA
64946: 04/01/16: Tonny: Xilinx ISE 6.1 problem
64966: 04/01/17: Basuki Endah Priyanto: Block RAM
    64972: 04/01/16: Adarsh Kumar Jain: Re: Block RAM
64974: 04/01/17: Cornel Arnet: Timing Simulation ModelSim / Quartus
    64996: 04/01/18: Mike Treseler: Re: Timing Simulation ModelSim / Quartus
        65050: 04/01/19: Cornel Arnet: Re: Timing Simulation ModelSim / Quartus
64976: 04/01/17: Hayder Mrabet: QUIP( Altera ) interseting But ?????
64978: 04/01/17: Przemyslaw Wegrzyn: Spartan XC2S200 - how many BlockRAMs ?
    65015: 04/01/18: john jakson: Re: Spartan XC2S200 - how many BlockRAMs ?
64979: 04/01/17: Nick Suttora: Simulation Speed when using Xilinx DCM
    64997: 04/01/18: Mike Treseler: Re: Simulation Speed when using Xilinx DCM
        65032: 04/01/19: Nick Suttora: Re: Simulation Speed when using Xilinx DCM
            65043: 04/01/19: Mike Treseler: Re: Simulation Speed when using Xilinx DCM
64984: 04/01/18: Kelvin @ SG: Deriving 36MHz from a 40MHz crystal using DCM?
    65011: 04/01/18: symon: Re: Deriving 36MHz from a 40MHz crystal using DCM?
        65059: 04/01/19: Ralph Malph: Re: Deriving 36MHz from a 40MHz crystal using DCM?
            65069: 04/01/19: Peter Alfke: Re: Deriving 36MHz from a 40MHz crystal using DCM?
    65020: 04/01/18: Antti Lukats: Re: Deriving 36MHz from a 40MHz crystal using DCM?
        65038: 04/01/19: Peter Alfke: Re: Deriving 36MHz from a 40MHz crystal using DCM?
            65042: 04/01/19: Steven K. Knapp: Re: Deriving 36MHz from a 40MHz crystal using DCM?
                65070: 04/01/19: Steven K. Knapp: Re: Deriving 36MHz from a 40MHz crystal using DCM?
                    65071: 04/01/20: Kelvin @ SG: Re: Deriving 36MHz from a 40MHz crystal using DCM?
64992: 04/01/18: Paul: fpga4fun
    65001: 04/01/18: Jean Nicolle: Re: fpga4fun
    65016: 04/01/19: Allan Herriman: Re: fpga4fun
64994: 04/01/18: Sumit Gupta: FPGAProto board is now available for purchase !
65000: 04/01/18: Chris: Downloading to an FPGA
    65008: 04/01/19: Steve Casselman: Re: Downloading to an FPGA
65002: 04/01/18: Paul: 802.3 mii
    65003: 04/01/18: Marc Randolph: Re: 802.3 mii
65004: 04/01/18: Martin Euredjian: Anisotropic filter
65007: 04/01/18: cruzin: Avalon DMA problems
    65028: 04/01/19: Peter Sommerfeld: Re: Avalon DMA problems
65009: 04/01/18: hamilton: WTD: info on AMD palce22v10
    65026: 04/01/19: Jonathan Bromley: Re: info on AMD palce22v10
        65058: 04/01/19: hamilton: Re: info on AMD palce22v10
    65041: 04/01/19: Peter Alfke: Re: WTD: info on AMD palce22v10
        65063: 04/01/19: Ralph Malph: Re: WTD: info on AMD palce22v10
            65073: 04/01/20: jim granville: Re: WTD: info on AMD palce22v10
                65092: 04/01/20: Raivo Nael: Re: WTD: info on AMD palce22v10
                    65177: 04/01/21: Ralph Malph: Re: WTD: info on AMD palce22v10
                        65180: 04/01/21: Peter Alfke: Re: WTD: info on AMD palce22v10
                            65190: 04/01/21: Ray Andraka: Re: WTD: info on AMD palce22v10
                                65192: 04/01/22: jim granville: Re: WTD: info on AMD palce22v10
                            65222: 04/01/22: Ralph Malph: Re: WTD: info on AMD palce22v10
                                65233: 04/01/22: Ray Andraka: Re: WTD: info on AMD palce22v10
                                    65258: 04/01/22: Raivo Nael: Re: WTD: info on AMD palce22v10
                                        65263: 04/01/22: Ralph Malph: Re: WTD: info on AMD palce22v10
                                        65268: 04/01/22: Peter Alfke: Re: WTD: info on AMD palce22v10
                                            65278: 04/01/23: Raivo Nael: Re: WTD: info on AMD palce22v10
                                                65311: 04/01/24: Ralph Malph: Re: WTD: info on AMD palce22v10
65010: 04/01/18: Paul: fpga4fun ethernet
    65017: 04/01/19: Allan Herriman: Re: fpga4fun ethernet
65018: 04/01/18: Nachiket Kapre: par problems with modular design for partial reconfiguration
    65019: 04/01/19: Kelvin @ SG: Re: par problems with modular design for partial reconfiguration
        65075: 04/01/19: Nachiket Kapre: Re: par problems with modular design for partial reconfiguration
    65057: 04/01/19: Steve Casselman: Re: par problems with modular design for partial reconfiguration
    65061: 04/01/19: Ray Andraka: Re: par problems with modular design for partial reconfiguration
        65076: 04/01/19: Nachiket Kapre: Re: par problems with modular design for partial reconfiguration
            65077: 04/01/19: Ray Andraka: Re: par problems with modular design for partial reconfiguration
                65167: 04/01/21: Nachiket Kapre: mapping issues => Re: par problems with modular design for partial reconfiguration
65021: 04/01/18: ALuPin: Memory Initialization Files in Modelsim
    65040: 04/01/19: Mike Treseler: Re: Memory Initialization Files in Modelsim
    65068: 04/01/19: Subroto Datta: Re: Memory Initialization Files in Modelsim
        65080: 04/01/19: ALuPin: Re: Memory Initialization Files in Modelsim
        65081: 04/01/20: ALuPin: Re: Memory Initialization Files in Modelsim
            65110: 04/01/20: Subroto Datta: Re: Memory Initialization Files in Modelsim
                65144: 04/01/21: ALuPin: Re: Memory Initialization Files in Modelsim
65024: 04/01/19: Kelvin @ SG: How to handle top-level glue logic.
65030: 04/01/19: chi: Help required on CoolRunner (XCR3256XL) In-System Programming
65031: 04/01/19: arkaitz: Trouble using ChipsCope Pro with MicroBlaze
    65048: 04/01/19: Antti Lukats: Re: Trouble using ChipsCope Pro with MicroBlaze
        65055: 04/01/20: Johan Bernspång: Re: Trouble using ChipsCope Pro with MicroBlaze
    65056: 04/01/19: Erik Widding: Re: Trouble using ChipsCope Pro with MicroBlaze
        65084: 04/01/20: arkaitz: Re: Trouble using ChipsCope Pro with MicroBlaze
            65156: 04/01/21: arkaitz: Re: Trouble using ChipsCope Pro with MicroBlaze
                65159: 04/01/21: Goran Bilski: Re: Trouble using ChipsCope Pro with MicroBlaze
                    65220: 04/01/22: arkaitz: Re: Trouble using ChipsCope Pro with MicroBlaze
65033: 04/01/19: Adarsh Kumar Jain: Rocket IO Transceiver : Loss of Sync Signal Always high
65035: 04/01/19: chuk: simulating
    65039: 04/01/19: Mike Treseler: Re: simulating
65037: 04/01/19: Hayder Mrabet: QUIP ( advance)
    65131: 04/01/20: Vaughn Betz: Re: QUIP ( advance)
65045: 04/01/19: Patrick Birger: Altera/Xilinx Distributor in Europe?
    65046: 04/01/19: Rene Tschaggelar: Re: Altera/Xilinx Distributor in Europe?
        65117: 04/01/20: Patrick Birger: Re: Altera/Xilinx Distributor in Europe?
            65134: 04/01/20: Antti Lukats: Re: Altera/Xilinx Distributor in Europe?
            65182: 04/01/21: Nial Stewart: Re: Altera/Xilinx Distributor in Europe?
            65250: 04/01/22: Kolja Sulimma: Re: Altera/Xilinx Distributor in Europe?
    65143: 04/01/21: rr: Re: Altera/Xilinx Distributor in Europe?
65049: 04/01/19: Ted: QUES: Where can I find Xilinx M1 tools
    65052: 04/01/19: B. Joshua Rosen: Re: QUES: Where can I find Xilinx M1 tools
    65054: 04/01/19: Steve Casselman: Re: Where can I find Xilinx M1 tools
    65064: 04/01/19: Ralph Malph: Re: QUES: Where can I find Xilinx M1 tools
        65066: 04/01/19: Peter Alfke: Re: QUES: Where can I find Xilinx M1 tools
    65137: 04/01/21: Philip Freidin: Re: QUES: Where can I find Xilinx M1 tools
    65158: 04/01/21: JoeG: Re: QUES: Where can I find Xilinx M1 tools
65051: 04/01/19: sunroof: Help on qu@rtus memory initialization file
    65088: 04/01/20: Jeroen: Re: Help on qu@rtus memory initialization file
65053: 04/01/19: x86asm: Good/Affordable Stater kits
    65065: 04/01/19: Mike Treseler: Re: Good/Affordable Stater kits
        65072: 04/01/20: Kelvin @ SG: Re: Good/Affordable Stater kits
    65083: 04/01/20: valentin tihomirov: Re: Good/Affordable Stater kits
    65086: 04/01/20: Sumit Gupta: Re: Good/Affordable Stater kits
        65103: 04/01/20: Antti Lukats: Re: Good/Affordable Stater kits
            65126: 04/01/21: Sumit Gupta: Re: Good/Affordable Stater kits
                65139: 04/01/20: Antti Lukats: Re: Good/Affordable Stater kits
                65327: 04/01/24: Hal Murray: Re: Good/Affordable Stater kits
    65123: 04/01/20: Anna Acevedo: Re: Good/Affordable Stater kits
    65132: 04/01/20: Vaughn Betz: Re: Good/Affordable Stater kits
        65432: 04/01/29: StoneCold: Re: Good/Affordable Stater kits
        65489: 04/01/30: dave: Re: Good/Affordable Stater kits
            65496: 04/01/30: Ray Andraka: Re: Good/Affordable Stater kits
    65138: 04/01/21: Philip Freidin: Re: Good/Affordable Stater kits
65079: 04/01/20: Kelvin @ SG: Why doesn't NGDBuild recognize some UCF formatting?
    65119: 04/01/21: Phil Hays: Re: Why doesn't NGDBuild recognize some UCF formatting?
65082: 04/01/20: Giuseppe³: ISE 6.1 and Win2000 sp4
    65095: 04/01/20: Hernán Sánchez: Re: ISE 6.1 and Win2000 sp4
        65146: 04/01/21: Alain: Re: ISE 6.1 and Win2000 sp4
65087: 04/01/20: g. giachella: Non deterministic routing in Quartus 3.0 ?
    65099: 04/01/20: Mike Treseler: Re: Non deterministic routing in Quartus 3.0 ?
        65130: 04/01/20: Vaughn Betz: Re: Non deterministic routing in Quartus 3.0 ?
            65205: 04/01/21: g. giachella: Re: Non deterministic routing in Quartus 3.0 ?
                65236: 04/01/22: Petter Gustad: Re: Non deterministic routing in Quartus 3.0 ?
                    65274: 04/01/23: g. giachella: Re: Non deterministic routing in Quartus 3.0 ?
        65141: 04/01/20: g. giachella: Re: Non deterministic routing in Quartus 3.0 ?
65090: 04/01/20: Kelvin @ SG: Small bit manipulation on two designs with routing differences...
65091: 04/01/20: chuk: ERROR:HDLParsers:164
65096: 04/01/20: BrakePiston: BIST FPGA testing - Applying a test vector
    65098: 04/01/20: Mike Treseler: Re: BIST FPGA testing - Applying a test vector
        65106: 04/01/20: Peter Alfke: Re: BIST FPGA testing - Applying a test vector
            65115: 04/01/20: Eric Smith: Re: BIST FPGA testing - Applying a test vector
                65124: 04/01/20: Peter Alfke: Re: BIST FPGA testing - Applying a test vector
            65136: 04/01/20: Thomas Stanka: Re: BIST FPGA testing - Applying a test vector
                65168: 04/01/21: Peter Alfke: Re: BIST FPGA testing - Applying a test vector
                    65207: 04/01/22: Thomas Stanka: Re: BIST FPGA testing - Applying a test vector
        65127: 04/01/21: jim granville: Re: BIST FPGA testing - Applying a test vector
    65145: 04/01/21: BrakePiston: Re: BIST FPGA testing - Applying a test vector
        65164: 04/01/21: Peter Alfke: Re: BIST FPGA testing - Applying a test vector
        65202: 04/01/22: Paul Leventis (at home): Re: BIST FPGA testing - Applying a test vector
65100: 04/01/20: Tobias =?iso-8859-1?Q?M=F6glich?=: Tristate buffer
    65108: 04/01/20: PO Laprise: Re: Tristate buffer
    65114: 04/01/20: Andy Peters: Re: Tristate buffer
    65120: 04/01/20: Peter Alfke: Re: Tristate buffer
            65173: 04/01/21: Tobias =?iso-8859-1?Q?M=F6glich?=: Re: Tristate buffer
            65179: 04/01/21: Peter Alfke: Re: Tristate buffer
            65184: 04/01/21: Paulo Dutra: Re: Tristate buffer
    65348: 04/01/26: Tullio Grassi: Re: Tristate buffer
        65356: 04/01/26: Uwe Bonnes: Re: Tristate buffer
        65365: 04/01/26: Andy Peters: Re: Tristate buffer
            65372: 04/01/26: Ray Andraka: Re: Tristate buffer
65101: 04/01/20: Timothy Campbell: RocketIO evaluation
    65104: 04/01/20: Adarsh Kumar Jain: Re: RocketIO evaluation
    65105: 04/01/20: Adarsh Kumar Jain: Re: RocketIO evaluation
        65313: 04/01/24: Hal Murray: Re: RocketIO evaluation
65111: 04/01/20: Patrick Klacka: changing values in a fifo
    65113: 04/01/20: Eric Crabill: Re: changing values in a fifo
        65174: 04/01/21: PO Laprise: Re: changing values in a fifo
    65116: 04/01/20: Mike Treseler: Re: changing values in a fifo
        65125: 04/01/21: Patrick Klacka: Re: changing values in a fifo
            65128: 04/01/21: jim granville: Re: changing values in a fifo
            65151: 04/01/21: Marc Randolph: Re: changing values in a fifo
    65118: 04/01/20: Peter Alfke: Re: changing values in a fifo
    65135: 04/01/21: Blake Henry: Re: changing values in a fifo
    65187: 04/01/21: Patrick Klacka: Re: changing values in a fifo
        65203: 04/01/22: Blake Henry: Re: changing values in a fifo
        65344: 04/01/25: Magnus Homann: Re: changing values in a fifo
        65346: 04/01/26: jim granville: Re: changing values in a fifo
            65370: 04/01/26: Patrick Klacka: Re: changing values in a fifo
65129: 04/01/20: Robert Sefton: OT: liability insurance
    65133: 04/01/21: Blake Henry: Re: liability insurance
    65176: 04/01/21: Ray Andraka: Re: OT: liability insurance
        65183: 04/01/21: Robert Sefton: Re: OT: liability insurance
            65189: 04/01/21: Ray Andraka: Re: OT: liability insurance
        65204: 04/01/22: Blake Henry: Re: OT: liability insurance
            65206: 04/01/22: Martin Euredjian: Re: OT: liability insurance
                65216: 04/01/22: Rudolf Usselmann: Re: OT: liability insurance
            65232: 04/01/22: Ray Andraka: Re: OT: liability insurance
                65235: 04/01/22: Robert Sefton: Re: OT: liability insurance
                    65252: 04/01/22: Ralph Malph: Re: OT: liability insurance
                    65254: 04/01/22: Ray Andraka: Re: OT: liability insurance
                        65257: 04/01/22: oar: Re: OT: liability insurance
65142: 04/01/20: Avi Halfon: spartan3 power supply
    65165: 04/01/21: Peter Alfke: Re: spartan3 power supply
65147: 04/01/21: David Collier: Xilinx design process....
    65149: 04/01/21: John Adair: Re: Xilinx design process....
65148: 04/01/21: BrakePiston: PowerPC and JTAG
    65380: 04/01/27: Steve Casselman: Re: PowerPC and JTAG
        65457: 04/01/29: Alan Nishioka: Re: PowerPC and JTAG
            65465: 04/01/29: Austin Lesea: Re: PowerPC and JTAG
                65485: 04/01/30: Alan Nishioka: Re: PowerPC and JTAG
                    65491: 04/01/30: Austin Lesea: Re: PowerPC and JTAG
65150: 04/01/21: Valery: References to good PCI boards and some newbie questions - please help!
    65209: 04/01/22: mikegw: Re: References to good PCI boards and some newbie questions - please help!
65152: 04/01/21: samuel nobs: microblaze reg_addr and new_reg_value outputs
    65155: 04/01/21: Goran Bilski: Re: microblaze reg_addr and new_reg_value outputs
65153: 04/01/21: Bochumfrau@gmx.de: Synthesis of Loops
    65154: 04/01/21: Jonathan Bromley: Re: Synthesis of Loops
        65215: 04/01/22: Bochumfrau@gmx.de: Re: Synthesis of Loops
            65223: 04/01/22: <jonathan.bromley@doulos.com>: Re: Synthesis of Loops
                65241: 04/01/22: Symon: Re: Synthesis of Loops
65157: 04/01/21: Ash: Reference Designators naming standard...
65160: 04/01/21: cruzin: How can I have multiple drivers of one inout port?
    65227: 04/01/22: Ralf Hildebrandt: Re: How can I have multiple drivers of one inout port?
65161: 04/01/21: ram: EDK - Desinging system with C++
65170: 04/01/21: guille: xilinx 70% tracking rule
    65194: 04/01/22: jim granville: Re: xilinx 70% tracking rule
        65199: 04/01/22: Paul Leventis (at home): Re: xilinx 70% tracking rule
            65213: 04/01/22: guille: Re: xilinx 70% tracking rule
            65230: 04/01/22: Rudolf Usselmann: Re: xilinx 70% tracking rule
                65238: 04/01/22: Peter Alfke: Re: xilinx 70% tracking rule
                    65253: 04/01/22: Ralph Malph: Re: xilinx 70% tracking rule
                        65267: 04/01/22: Peter Alfke: Re: xilinx 70% tracking rule
                            65292: 04/01/23: Mike Treseler: Re: xilinx 70% tracking rule
                                65312: 04/01/24: Ralph Malph: Re: xilinx 70% tracking rule
                    65277: 04/01/23: Kolja Sulimma: Re: xilinx 70% tracking rule
                    65325: 04/01/24: Rudolf Usselmann: Re: xilinx 70% tracking rule
                        65329: 04/01/24: Ray Andraka: Re: xilinx 70% tracking rule
                        65331: 04/01/25: Paul Leventis (at home): Re: xilinx 70% tracking rule
65175: 04/01/21: Bob Widlicka: MACH5 eval board - doc needed
65181: 04/01/21: Josep Duran: Soft failures (?) 9536XL
    65188: 04/01/21: Peter Alfke: Re: Soft failures (?) 9536XL
        65193: 04/01/22: jim granville: Re: Soft failures (?) 9536XL
        65208: 04/01/22: Josep Duran: Re: Soft failures (?) 9536XL
            65224: 04/01/22: Ralph Malph: Re: Soft failures (?) 9536XL
    65195: 04/01/21: Jim Lewis: Re: Soft failures (?) 9536XL
    65197: 04/01/22: Amontec Team, Laurent Gauch: Re: Soft failures (?) 9536XL
    65668: 04/02/04: Pascal Chamberland: Re: Soft failures (?) 9536XL
        65682: 04/02/04: Peter Alfke: Re: Soft failures (?) 9536XL
            65691: 04/02/04: Symon: Re: Soft failures (?) 9536XL
65185: 04/01/21: Nachiket Kapre: map gives yet another error!
    65255: 04/01/22: Bret Wade: Re: map gives yet another error!
65186: 04/01/21: Ken Morrow: Synthesis errors?
    65191: 04/01/22: Subroto Datta: Re: Synthesis errors?
    65198: 04/01/22: Eyck Jentzsch: Re: Synthesis errors?
    65217: 04/01/22: John Adair: Re: Synthesis errors?
65196: 04/01/22: Pablo Bleyer: Re: Spirit on Mars
    65289: 04/01/23: Austin Lesea: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
        65301: 04/01/24: jim granville: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
            65304: 04/01/23: Austin Lesea: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                65309: 04/01/23: Peter Alfke: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                    65310: 04/01/23: Ray Andraka: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                        65317: 04/01/25: jim granville: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                            65363: 04/01/26: Austin Lesea: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                                65371: 04/01/26: Ray Andraka: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                                    65374: 04/01/26: Austin Lesea: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                                        65375: 04/01/26: Ray Andraka: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                                            65376: 04/01/26: Austin Lesea: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                                                65379: 04/01/26: Ray Andraka: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                                                    65391: 04/01/27: Jason: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                                                    65395: 04/01/27: Austin Lesea: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                                                        65405: 04/01/28: Jim Granville: Re: FPGA Config Readback while run, gotchas etc
            65306: 04/01/23: Ray Andraka: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
        65321: 04/01/24: rk: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
        65360: 04/01/26: Thomas Stanka: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
            65364: 04/01/26: Austin Lesea: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                65406: 04/01/27: Ralph Malph: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                    65409: 04/01/27: Austin Lesea: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                        65412: 04/01/27: Ralph Malph: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
                            65413: 04/01/28: Uwe Bonnes: Re: Spirit on Mars, upsets on Earth -- does your vendor know? How?
    65350: 04/01/25: Jason: Re: Spirit on Mars
65210: 04/01/22: Valery: Anybody has any experience with Tarari Content processor?
65211: 04/01/22: itsme: Xilinx Spartan3 Timing Problems - Whats about the chips
    65244: 04/01/22: Steven K. Knapp: Re: Xilinx Spartan3 Timing Problems - Whats about the chips
        65271: 04/01/22: Anjan: Re: Xilinx Spartan3 Timing Problems - Whats about the chips
            65608: 04/02/03: Morten Leikvoll: Re: Xilinx Spartan3 Timing Problems - Whats about the chips
                65624: 04/02/03: Ray Andraka: Re: Xilinx Spartan3 Timing Problems - Whats about the chips
65214: 04/01/22: Claudio: Xilinx old development tool
65218: 04/01/23: Allan Herriman: Verilog 2001 indexed part select in XST 6.1.3?
    65389: 04/01/27: Allan Herriman: Re: Verilog 2001 indexed part select in XST 6.1.3?
        65569: 04/02/02: Steven Elzinga: Re: Verilog 2001 indexed part select in XST 6.1.3?
65219: 04/01/22: Mancini Stephane: Virtex II Pro, powerpc 405 and ucOSII
65221: 04/01/22: arkaitz: Post-Place & Route simulation with MicroBlaze
    65228: 04/01/22: PO Laprise: Re: Post-Place & Route simulation with MicroBlaze
    65247: 04/01/22: Ryan Laity: Re: Post-Place & Route simulation with MicroBlaze
        65275: 04/01/23: arkaitz: Re: Post-Place & Route simulation with MicroBlaze
            65294: 04/01/23: Ryan Laity: Re: Post-Place & Route simulation with MicroBlaze
65225: 04/01/22: T. Irmen: systemc download page?
    65291: 04/01/23: T. Irmen: Re: systemc download page? [OK now]
65226: 04/01/22: Peter Sommerfeld: Why is router software not multi-threaded?
    65240: 04/01/22: John Retta: Re: Why is router software not multi-threaded?
        65259: 04/01/22: Bret Wade: Re: Why is router software not multi-threaded?
    65248: 04/01/22: fabbl: Re: Why is router software not multi-threaded?
    65256: 04/01/22: Ralph Malph: Re: Why is router software not multi-threaded?
    65261: 04/01/23: Paul Leventis (at home): Re: Why is router software not multi-threaded?
65229: 04/01/22: chris: error in Quartus
    65242: 04/01/22: Mike Treseler: Re: error in Quartus
        65282: 04/01/23: chris: Re: error in Quartus
            65290: 04/01/23: Mike Treseler: Re: error in Quartus
65231: 04/01/22: David Nyberg: Lining up data...
65234: 04/01/22: David Nyberg: Random data generator...
    65237: 04/01/22: Amontec Team: Re: Random data generator...
    65239: 04/01/22: Peter Alfke: Re: Random data generator...
        65272: 04/01/23: Allan Herriman: Re: Random data generator...
            65315: 04/01/24: Kolja Sulimma: Re: Random data generator...
65243: 04/01/22: Sandeep: Synthesizing pipelined multipliers in Synplify Pro
    65262: 04/01/22: Jim Lewis: Re: Synthesizing pipelined multipliers in Synplify Pro
        65297: 04/01/23: Sandeep: Re: Synthesizing pipelined multipliers in Synplify Pro
            65299: 04/01/23: Ray Andraka: Re: Synthesizing pipelined multipliers in Synplify Pro
65245: 04/01/22: Austin Lesea: Spirit on Mars
    65265: 04/01/22: Jake Janovetz: Re: Spirit on Mars
        65284: 04/01/23: Austin Lesea: Re: Spirit on Mars
    65279: 04/01/23: Uwe Bonnes: Re: Spirit on Mars
        65285: 04/01/23: Austin Lesea: Re: Spirit on Mars
    65287: 04/01/23: Nicholas C. Weaver: Re: Spirit on Mars
    65296: 04/01/23: Jan Panteltje: Re: Spirit on Mars
        65334: 04/01/24: ram: Re: Spirit on Mars
65246: 04/01/22: Thomas: Down Sample, FFT
65249: 04/01/22: Christof Paar: CHES 2004, 2nd CFP
65251: 04/01/22: John Providenza: Xilinx LVDS_25_DT termination issues????
    65260: 04/01/22: Austin Lesea: Re: Xilinx LVDS_25_DT termination issues????
        65302: 04/01/23: John Providenza: Re: Xilinx LVDS_25_DT termination issues????
            65305: 04/01/23: Ray Andraka: Re: Xilinx LVDS_25_DT termination issues????
    65293: 04/01/23: Symon: Re: Xilinx LVDS_25_DT termination issues????
    65333: 04/01/24: Brian Davis: Re: Xilinx LVDS_25_DT termination issues????
65264: 04/01/22: Robert Sefton: 10GbE MACs
65266: 04/01/22: paraag: asic vs fpga comparison issues
    65269: 04/01/22: Peter Alfke: Re: asic vs fpga comparison issues
65270: 04/01/23: Richard B. Katz: CFP: 2004 MAPLD International Conference
65273: 04/01/23: jtw: Xilinx CoreGen - java - Windows 2000 error
65276: 04/01/23: SDL: Quartus doesn't work with Pentium Hypertheading!
    65295: 04/01/23: Subroto Datta: Re: Quartus doesn't work with Pentium Hypertheading!
    65308: 04/01/24: Paul Leventis (at home): Re: Quartus doesn't work with Pentium Hypertheading!
65281: 04/01/23: Andres: time set up
65283: 04/01/23: T. Irmen: sccom and win32? when does it come available?
65286: 04/01/23: Khim Bittle: Altera Active Serial
    65314: 04/01/24: Ben Popoola: Re: Altera Active Serial
        65429: 04/01/28: Antti Lukats: Re: Altera Active Serial
            65452: 04/01/29: Nial Stewart: Re: Altera Active Serial
                65453: 04/01/29: Nial Stewart: Re: Altera Active Serial
            65462: 04/01/29: Khim Bittle: Re: Altera Active Serial
                65471: 04/01/29: Antti Lukats: Re: Altera Active Serial
                    65510: 04/01/31: Khim Bittle: Re: Altera Active Serial
                65502: 04/01/31: Ben Popoola: Re: Altera Active Serial
                    65506: 04/01/31: Antti Lukats: Re: Altera Active Serial
                        65509: 04/01/31: Ben Popoola: Re: Altera Active Serial
                            65520: 04/01/31: Antti Lukats: Re: Altera Active Serial
                                65567: 04/02/02: Nial Stewart: Re: Altera Active Serial
                    65511: 04/01/31: Khim Bittle: Re: Altera Active Serial
65288: 04/01/23: Tony: software tool kits for openrisc
65307: 04/01/23: Brannon King: Xilinx Map & Par time spent
65316: 04/01/24: Kelvin @ SG: UCF constraints for DCM outputs?
    65323: 04/01/24: Robert Sefton: Re: UCF constraints for DCM outputs?
65318: 04/01/24: Kelvin @ SG: How come NGDBuild derive a clk_36m_tmp/4 clock?
65320: 04/01/24: cwoodring: xilinx EDK and Webpack 6.x
65322: 04/01/24: Nagaraj: Power Cosumption of a Memory Unit
65324: 04/01/24: Trent R.: VHDL newbie
    65335: 04/01/25: Simon Peacock: Re: VHDL newbie
    65351: 04/01/25: Jim Lewis: Re: VHDL newbie
65326: 04/01/24: Peter Sommerfeld: Timing model for MultiTrack interconnects in Stratix?
    65381: 04/01/27: Paul Leventis (at home): Re: Timing model for MultiTrack interconnects in Stratix?
        65403: 04/01/27: Peter Sommerfeld: Re: Timing model for MultiTrack interconnects in Stratix?
            65411: 04/01/28: Paul Leventis (at home): Re: Timing model for MultiTrack interconnects in Stratix?
65328: 04/01/24: Tobias Weihmann: XC6200 bitstream readback
65330: 04/01/24: Nick Suttora: Xilinx DDR Register FDDRRSE
65332: 04/01/25: Bob: OT: Flash memory problem on Spirit?
    65437: 04/01/29: rk: Re: OT: Flash memory problem on Spirit?
65336: 04/01/25: Kelvin @ SG: Cascading of many stages of DCM...
    65337: 04/01/25: Bob: Re: Cascading of many stages of DCM...
    65340: 04/01/25: Nial Stewart: Re: Cascading of many stages of DCM...
        65347: 04/01/26: Kelvin @ SG: Re: Cascading of many stages of DCM...
            65358: 04/01/26: Nial Stewart: Re: Cascading of many stages of DCM...
65338: 04/01/25: Kelvin @ SG: How do I fix this type of errors?
    65385: 04/01/27: <user@domain.invalid>: Re: How do I fix this type of errors?
    65685: 04/02/04: Sean Durkin: Re: How do I fix this type of errors?
        65699: 04/02/05: Kelvin @ SG: Re: How do I fix this type of errors?
            65702: 04/02/05: Sean Durkin: Re: How do I fix this type of errors?
                65760: 04/02/06: Kelvin @ SG: Re: How do I fix this type of errors?
    67100: 04/03/05: Markus: Re: How do I fix this type of errors?
        67183: 04/03/08: Sean Durkin: Re: How do I fix this type of errors?
            67245: 04/03/09: Kelvin @ SG: Re: How do I fix this type of errors?
65339: 04/01/24: Gaspar Sinai: FPGA machine-level specification?
    65341: 04/01/25: Kolja Sulimma: Re: FPGA machine-level specification?
65349: 04/01/25: Thomas Bartzick: Problem with TBUF-Placing
    65366: 04/01/26: Bret Wade: Re: Problem with TBUF-Placing
        65535: 04/02/01: Thomas Bartzick: Re: Problem with TBUF-Placing
65352: 04/01/25: Jeff: How to do with guard bits practically?
    65355: 04/01/26: Jeff: Re: How to do with guard bits practically?
        65362: 04/01/26: Ray Andraka: Re: How to do with guard bits practically?
            65369: 04/01/26: Jeff: Re: How to do with guard bits practically?
                65373: 04/01/26: Ray Andraka: Re: How to do with guard bits practically?
65353: 04/01/25: Thomas Bartzick: TBUF-PAR-Warning in detail
65367: 04/01/26: EH-2004: CFP: Evolvable Hardware 2004
65368: 04/01/26: Mario Ivancic: isp Cable for Lattice CPLD
    65377: 04/01/27: Amontec Team, Laurent Gauch: Re: isp Cable for Lattice CPLD
    65378: 04/01/27: Leon Heller: Re: isp Cable for Lattice CPLD
        65382: 04/01/26: hamilton: Re: isp Cable for Lattice CPLD
    65383: 04/01/27: Mika Leinonen: Re: isp Cable for Lattice CPLD
        65384: 04/01/27: Mika Leinonen: Re: isp Cable for Lattice CPLD
65387: 04/01/27: arkaitz: Interruptions in MicroBlaze
65388: 04/01/27: Andrew Greensted: Xilinx JTAG download under Linux (urgent)
    65390: 04/01/27: Stefan Frank: Re: Xilinx JTAG download under Linux (urgent)
        65392: 04/01/27: Andrew Greensted: Re: Xilinx JTAG download under Linux (urgent)
            65397: 04/01/27: Uwe Bonnes: Re: Xilinx JTAG download under Linux (urgent)
    65516: 04/01/31: David Kinsell: Re: Xilinx JTAG download under Linux (urgent)
        65517: 04/01/31: Uwe Bonnes: Re: Xilinx JTAG download under Linux (urgent)
    65548: 04/02/02: Andrew Greensted: Re: Xilinx JTAG download under Linux (urgent)
        65552: 04/02/02: Uwe Bonnes: Re: Xilinx JTAG download under Linux (urgent)
65393: 04/01/27: Frank van Eijkelenburg: pjcli commandline tool
65394: 04/01/27: Marcus Schaemann: Which Environment for Xilinx Design?
    65404: 04/01/27: Eric Crabill: Re: Which Environment for Xilinx Design?
        65421: 04/01/28: PO Laprise: Re: Which Environment for Xilinx Design?
    65504: 04/01/31: Alex Gibson: Re: Which Environment for Xilinx Design?
        65589: 04/02/03: Kelvin @ SG: Re: Which Environment for Xilinx Design?
65396: 04/01/27: bob: Image sensor?
    65400: 04/01/27: Jan Panteltje: Re: Image sensor?
        65418: 04/01/28: bob: Re: Image sensor?
    65408: 04/01/28: Roger Larsson: Re: Image sensor? (GPL code)
    65505: 04/02/01: Alex Gibson: Re: Image sensor?
        65508: 04/02/01: Alex Gibson: Re: Image sensor?
65398: 04/01/27: bhb: init RAM with .rif
65399: 04/01/27: simon: building macros for Virtex-II with FPGA editor...
    65407: 04/01/27: Bret Wade: Re: building macros for Virtex-II with FPGA editor...
        65414: 04/01/28: simon: Re: building macros for Virtex-II with FPGA editor...
            65423: 04/01/28: Bret Wade: Re: building macros for Virtex-II with FPGA editor...
                65428: 04/01/29: Kelvin @ SG: Re: building macros for Virtex-II with FPGA editor...
                    65448: 04/01/29: Ray Andraka: Re: building macros for Virtex-II with FPGA editor...
                    65451: 04/01/29: Bret Wade: Re: building macros for Virtex-II with FPGA editor...
65410: 04/01/27: Nachiket Kapre: modular design routing returns 1 unrouted net GLOBAL_PSEUDO/CLK
65415: 04/01/28: Dave Pedlow: ISE6.1 : using virtex 800
    65422: 04/01/28: B. Joshua Rosen: Re: ISE6.1 : using virtex 800
        65477: 04/01/30: Dave Pedlow: Re: ISE6.1 : using virtex 800
65416: 04/01/28: H.Azmi: Asking about FPGA-SPARTAN error in synthizer
    65420: 04/01/28: Uwe Bonnes: Re: Asking about FPGA-SPARTAN error in synthizer
    65431: 04/01/29: John Adair: Re: Asking about FPGA-SPARTAN error in synthizer
    65455: 04/01/29: Mike Treseler: Re: Asking about FPGA-SPARTAN error in synthizer
65419: 04/01/28: Ian: Partial Reconfig Spartan 2 - Bus Macros, which one?
    65450: 04/01/29: Ian: Re: Partial Reconfig Spartan 2 - Bus Macros, which one?
        65495: 04/01/30: Bret Wade: Re: Partial Reconfig Spartan 2 - Bus Macros, which one?
65424: 04/01/28: Emile: Flip-Chip Package Substrate Solder Issue
    65425: 04/01/28: Austin Lesea: Re: Flip-Chip Package Substrate Solder Issue
        65427: 04/01/29: Martin Euredjian: Re: Flip-Chip Package Substrate Solder Issue
            65443: 04/01/29: Austin Lesea: Re: Flip-Chip Package Substrate Solder Issue
                65561: 04/02/02: Emile: Re: Flip-Chip Package Substrate Solder Issue
65426: 04/01/28: Fabio de Matos Gon?alves: Hot2 configuration
65430: 04/01/29: simon: jBits RouteClock
65433: 04/01/29: Arcadius A.: FPGA basics
    65439: 04/01/29: David Brown: Re: FPGA basics
        65461: 04/01/29: Jean Nicolle: Re: FPGA basics
    65444: 04/01/29: B. Joshua Rosen: Re: FPGA basics
    65460: 04/01/29: Jim Lewis: Re: FPGA basics
65434: 04/01/29: Matthias =?iso-8859-1?Q?M=FCller?=: pci-x core/ XC2VP/ pin capacitance
    65454: 04/01/29: Eric Crabill: Re: pci-x core/ XC2VP/ pin capacitance
65435: 04/01/29: Raghavendra: what is back annotation
    65445: 04/01/29: fabbl: Re: what is back annotation
65436: 04/01/29: Raghavendra: Power extimation?
    65446: 04/01/29: fabbl: Re: Power extimation?
    65664: 04/02/04: Brendan Cullen: Re: Power extimation?
    65694: 04/02/04: Greg Steinke: Re: Power extimation?
    65770: 04/02/05: William Wallace: Re: Power extimation?
65438: 04/01/29: Raivo Nael: Is FPGA fully static?
    65442: 04/01/29: B. Joshua Rosen: Re: Is FPGA fully static?
    65449: 04/01/29: Peter Alfke: Re: Is FPGA fully static?
        65476: 04/01/30: Ken: Re: Is FPGA fully static?
            65488: 04/01/30: Peter Alfke: Re: Is FPGA fully static?
    65463: 04/01/29: Jon Elson: Re: Is FPGA fully static?
        65466: 04/01/29: Ray Andraka: Re: Is FPGA fully static?
    65481: 04/01/30: Austin Lesea: Re: V2Pro & PCI Problem?
65441: 04/01/29: Ron Huizen: V2Pro & PCI Problem?
65447: 04/01/29: BrakePiston: Showing design in vpr
    65558: 04/02/02: Vaughn Betz: Re: Showing design in vpr
65456: 04/01/29: douge: VirtexII Pro MMU/Cache Setup for VxWorks
65458: 04/01/30: Kelvin @ SG: Where to get FPGA devices for testing?
    65459: 04/01/29: fabbl: Re: Where to get FPGA devices for testing?
        65464: 04/01/30: Jean Nicolle: Re: Where to get FPGA devices for testing?
            65468: 04/01/29: Ray Andraka: Re: Where to get FPGA devices for testing?
                65483: 04/01/30: Bob Perlman: Re: Where to get FPGA devices for testing?
                65497: 04/01/31: Jean Nicolle: Re: Where to get FPGA devices for testing?
                65519: 04/01/31: Hul Tytus: Re: Where to get FPGA devices for testing?
                    65577: 04/02/02: Ray Andraka: Re: Where to get FPGA devices for testing?
            65479: 04/01/30: Kelvin @ SG: Re: Where to get FPGA devices for testing?
                65498: 04/01/31: Jean Nicolle: Re: Where to get FPGA devices for testing?
        65478: 04/01/30: Kelvin @ SG: Re: Where to get FPGA devices for testing?
    65467: 04/01/30: Matt: Re: Where to get FPGA devices for testing?
    65472: 04/01/29: Antti Lukats: Re: Where to get FPGA devices for testing?
        65473: 04/01/30: Martin Thompson: Re: Where to get FPGA devices for testing?
        65474: 04/01/30: Uwe Bonnes: Re: Where to get FPGA devices for testing?
            65486: 04/01/30: Uwe Bonnes: Re: Where to get FPGA devices for testing?
                65501: 04/01/30: Antti Lukats: Re: Where to get FPGA devices for testing?
            65499: 04/01/30: Antti Lukats: Re: Where to get FPGA devices for testing?
65469: 04/01/29: KaRtiK: Verilog code to Physical layout?
    65503: 04/01/31: Rudolf Usselmann: Re: Verilog code to Physical layout?
65470: 04/01/29: Jacques athow: One bit Virtex BRAM.
    65475: 04/01/30: Sean Durkin: Re: One bit Virtex BRAM.
        65500: 04/01/30: Jacques athow: Re: One bit Virtex BRAM.
            65545: 04/02/01: Nachiket Kapre: Re: One bit Virtex BRAM.
65480: 04/01/30: Massi: Phase detector for DLL
    65494: 04/01/30: Andy Peters: Re: Phase detector for DLL
    65560: 04/02/02: Anders Hellerup Madsen: Re: Phase detector for DLL
65482: 04/01/30: Stephen Glow: Firewire (IEEE 1394a) link layer IP block?
65484: 04/01/30: mralboro: DLL board level lock feedback
65487: 04/01/30: Georges Konstantinidis: asynchronous counter an Xilinx FPGA for a newbie
    65490: 04/01/30: Egbert Molenkamp: Re: asynchronous counter an Xilinx FPGA for a newbie
    65493: 04/01/30: Andy Peters: Re: asynchronous counter an Xilinx FPGA for a newbie
        65515: 04/01/31: Georges Konstantinidis: Re: asynchronous counter an Xilinx FPGA for a newbie
            65550: 04/02/02: Andrew Greensted: Re: asynchronous counter an Xilinx FPGA for a newbie
                65565: 04/02/02: Georges Konstantinidis: Re: asynchronous counter an Xilinx FPGA for a newbie
                    65597: 04/02/03: Andrew Greensted: Re: asynchronous counter an Xilinx FPGA for a newbie
            65563: 04/02/02: Andy Peters: Re: asynchronous counter an Xilinx FPGA for a newbie
                65564: 04/02/02: Georges Konstantinidis: Re: asynchronous counter an Xilinx FPGA for a newbie
                    65593: 04/02/03: Sajan: Re: asynchronous counter an Xilinx FPGA for a newbie
                        65594: 04/02/03: Georges Konstantinidis: Re: asynchronous counter an Xilinx FPGA for a newbie
    65758: 04/02/06: glen herrmannsfeldt: Re: asynchronous counter an Xilinx FPGA for a newbie
65492: 04/01/30: Russell May: Manchester II encoder-decoder
    98664: 06/03/14: ansiaviva: Re: Manchester II encoder-decoder
65507: 04/01/31: Antti Lukats: New USB chip for fast FPGA bitstream download
    65512: 04/01/31: Uwe Bonnes: Re: New USB chip for fast FPGA bitstream download
        65521: 04/01/31: Antti Lukats: Re: New USB chip for fast FPGA bitstream download
    65524: 04/02/01: Eric Smith: Re: New USB chip for fast FPGA bitstream download
        65526: 04/02/01: Pablo Bleyer: Re: New USB chip for fast FPGA bitstream download
        65531: 04/02/01: Antti Lukats: Re: New USB chip for fast FPGA bitstream download
            65532: 04/02/01: Peter Wallace: Re: New USB chip for fast FPGA bitstream download
            65538: 04/02/01: Pablo Bleyer Kocik: Re: New USB chip for fast FPGA bitstream download
                65539: 04/02/01: Khim Bittle: Re: New USB chip for fast FPGA bitstream download
65513: 04/01/31: Robert Davis: Experiences with Microblaze and Nios
    65522: 04/01/31: Antti Lukats: Re: Experiences with Microblaze and Nios
        65523: 04/02/01: Robert Davis: Re: Experiences with Microblaze and Nios
            65530: 04/02/01: Antti Lukats: Re: Experiences with Microblaze and Nios
            65687: 04/02/05: John Williams: Re: Experiences with Microblaze and Nios
    65681: 04/02/04: Peter Sommerfeld: Re: Experiences with Microblaze and Nios
65514: 04/01/31: Shiraz Kaleel: ASMBL
    65559: 04/02/02: Austin Lesea: Re: ASMBL
        65579: 04/02/03: Jim Granville: Re: ASMBL
            65580: 04/02/02: Austin Lesea: Re: ASMBL anxiety
                65582: 04/02/03: Jim Granville: Re: ASMBL anxiety
                    65609: 04/02/03: Austin Lesea: Re: ASMBL anxiety
                        65635: 04/02/04: Jim Granville: Re: Stratix II NIOS sizes ?
                            65638: 04/02/03: Ray Andraka: Re: Stratix II NIOS sizes ?
                            65652: 04/02/04: Fredrik: Re: Stratix II NIOS sizes ?
                                65680: 04/02/04: Peter Sommerfeld: Re: Stratix II NIOS sizes ?
                                    65705: 04/02/05: Fredrik: Re: Stratix II NIOS sizes ?
                            65741: 04/02/05: Jesse Kempa: Re: Stratix II NIOS sizes ?
                                65848: 04/02/08: Jim Granville: Re: Stratix II NIOS sizes ?
                                    65904: 04/02/09: Jesse Kempa: Re: Stratix II NIOS sizes ?
65518: 04/01/31: Jacob =?iso-8859-1?q?S=F8rensen?=: Altera DSP builder problem with delay and Integrator
    65566: 04/02/02: Hong Shan Neoh: Re: Altera DSP builder problem with delay and Integrator
        65627: 04/02/03: Jacob =?iso-8859-1?q?S=F8rensen?=: Re: Altera DSP builder problem with delay and Integrator
            65676: 04/02/04: Hong Shan Neoh: Re: Altera DSP builder problem with delay and Integrator
                65677: 04/02/04: Jacob =?iso-8859-1?q?S=F8rensen?=: Re: Altera DSP builder problem with delay and Integrator


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search