Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Threads Starting Feb 2005

78430: 05/02/01: Gary Pace: Metastability MTBF in Cyclone
    78431: 05/01/31: Peter Alfke: Re: Metastability MTBF in Cyclone
        78449: 05/02/01: Benjamin Todd: Re: Metastability MTBF in Cyclone
    78458: 05/02/01: Peter Alfke: Re: Metastability MTBF in Cyclone
78442: 05/02/01: ALuPin: Synchronizing multibit bus
    78446: 05/02/01: Rene Tschaggelar: Re: Synchronizing multibit bus
        78510: 05/02/02: Rene Tschaggelar: Re: Synchronizing multibit bus
            78539: 05/02/02: Phil Hays: Re: Synchronizing multibit bus
    78468: 05/02/01: Peter Alfke: Re: Synchronizing multibit bus
    79679: 05/02/23: pdq: re:Synchronizing multibit bus
78447: 05/02/01: <vasus_ss@yahoo.co.in>: Evaluating EDIF netlist
    78448: 05/02/01: kcl: Re: Evaluating EDIF netlist
    78459: 05/02/01: <iluvfpgas@yahoo.ca>: Re: Evaluating EDIF netlist
    78460: 05/02/01: Jezwold: Re: Evaluating EDIF netlist
    78461: 05/02/01: B. Joshua Rosen: Re: Evaluating EDIF netlist
    78463: 05/02/01: Kevin Neilson: Re: Evaluating EDIF netlist
78450: 05/02/01: kcl: Oscillator for Digilent Spartan 3 Starter Kit
    78471: 05/02/01: Kolja Sulimma: Re: Oscillator for Digilent Spartan 3 Starter Kit
    78472: 05/02/01: Peter Alfke: Re: Oscillator for Digilent Spartan 3 Starter Kit
        78485: 05/02/01: Falk Brunner: Re: Oscillator for Digilent Spartan 3 Starter Kit
    78483: 05/02/01: Gabor: Re: Oscillator for Digilent Spartan 3 Starter Kit
    78488: 05/02/01: Peter Alfke: Re: Oscillator for Digilent Spartan 3 Starter Kit
78451: 05/02/01: Elektro: Input logic level on Spartan 3?
    78452: 05/02/01: Jedi: Re: Input logic level on Spartan 3?
    78453: 05/02/01: Gabor: Re: Input logic level on Spartan 3?
78454: 05/02/01: ivan: 100Mbps ethernet core
    78533: 05/02/02: <junkmail@fastertechnology.com>: Re: 100Mbps ethernet core
        80352: 05/03/04: ivan: Re: 100Mbps ethernet core
            80370: 05/03/04: Duane Clark: Re: 100Mbps ethernet core
                80398: 05/03/04: Duane Clark: Re: 100Mbps ethernet core
                    80404: 05/03/04: Duane Clark: Re: 100Mbps ethernet core
        80393: 05/03/04: <junkmail@fastertechnology.com>: Re: 100Mbps ethernet core
        80401: 05/03/04: <junkmail@fastertechnology.com>: Re: 100Mbps ethernet core
78456: 05/02/01: kcl: gate/xilinx slice
    78457: 05/02/01: Gabor: Re: gate/xilinx slice
        78464: 05/02/01: kcl: Re: gate/xilinx slice
    78465: 05/02/01: Gabor: Re: gate/xilinx slice
    78479: 05/02/01: <scheidt@gmail.com>: Re: gate/xilinx slice
78462: 05/02/01: ALuPin: Synchronizing multibit bus - 2
    78469: 05/02/01: Georgi Beloev: Re: Synchronizing multibit bus - 2
    78470: 05/02/01: Mike Lewis: Re: Synchronizing multibit bus - 2
        78512: 05/02/02: Rene Tschaggelar: Re: Synchronizing multibit bus - 2
    78476: 05/02/01: <scheidt@gmail.com>: Re: Synchronizing multibit bus - 2
    78500: 05/02/02: Hal Murray: Re: Synchronizing multibit bus - 2
    78511: 05/02/02: Rene Tschaggelar: Re: Synchronizing multibit bus - 2
78467: 05/02/01: JT: Model Sim: Color Printing
    78515: 05/02/02: Stefan Frank: Re: Model Sim: Color Printing
        78540: 05/02/02: Weddick: Re: Model Sim: Color Printing
78480: 05/02/01: RobJ: Pericom PI6C2404 equivalent
    78490: 05/02/01: Marc Randolph: Re: Pericom PI6C2404 equivalent
78481: 05/02/01: Adrian Mora: reading from CF card
    78484: 05/02/01: newman5382: Re: reading from CF card
78482: 05/02/01: Dave: Asynchronous Inputs Question
    78504: 05/02/02: Jonathan Bromley: Re: Asynchronous Inputs Question
78486: 05/02/01: Jedi: Synplicity and Mentor denying evaluation licenses
78494: 05/02/02: Peng Cong: Using FPGA Compiler2 with coreConultant?
78495: 05/02/01: Hendra: MP3 Player Project
    78501: 05/02/01: Jezwold: Re: MP3 Player Project
    78509: 05/02/02: Steven Derrien: Re: MP3 Player Project
    78525: 05/02/02: Ben Twijnstra: Re: MP3 Player Project
    78538: 05/02/02: Hendra: Re: MP3 Player Project
    78543: 05/02/03: <usrdr@yahoo.co.uk>: Re: MP3 Player Project
    78545: 05/02/03: Kolja Sulimma: Re: MP3 Player Project
        78569: 05/02/03: glen herrmannsfeldt: Re: MP3 Player Project
78498: 05/02/01: Jim George: Virtex II Slice Design - ARGH!
    78516: 05/02/02: Nossum: Re: Virtex II Slice Design - ARGH!
    78519: 05/02/02: John_H: Re: Virtex II Slice Design - ARGH!
    78537: 05/02/02: Jim George: Re: Virtex II Slice Design - ARGH!
        78558: 05/02/03: John_H: Re: Virtex II Slice Design - ARGH!
    79227: 05/02/15: TJB: Re: Virtex II Slice Design - ARGH!
78502: 05/02/01: cedric: Constraint on a asynchronous signal
    78514: 05/02/02: Bala_k: Re: Constraint on a asynchronous signal
78513: 05/02/02: Patrick: xil_malloc vs malloc
    79686: 05/02/23: nicolas.gac: re:xil_malloc vs malloc
78517: 05/02/02: Jacob Bower: Modifying a post PAR xilinx design
    78518: 05/02/02: Jacob Bower: Re: Modifying a post PAR xilinx design (solved)
    78531: 05/02/02: Bret Wade: Re: Modifying a post PAR xilinx design
    78589: 05/02/03: Chris Ebeling: Re: Modifying a post PAR xilinx design
    78591: 05/02/03: Jim Wu: Re: Modifying a post PAR xilinx design
78523: 05/02/02: Vincent Perron: Altera FLEX 8000
    78530: 05/02/02: Ben Twijnstra: Re: Altera FLEX 8000
    78555: 05/02/03: <vincent.perron@usherbrooke.ca>: Re: Altera FLEX 8000
    78612: 05/02/04: SeungHeun, Lee: Re: Altera FLEX 8000
        78674: 05/02/05: Subroto Datta: Re: Altera FLEX 8000
78527: 05/02/02: VSR: Trouble with XilinxCoreLib\vhdl_analyze_order
    78528: 05/02/02: Gabor: Re: Trouble with XilinxCoreLib\vhdl_analyze_order
78529: 05/02/03: Jeremy Stringer: Spartan-3 Static Timing Analysis with Voltage/Temperature Pro-rating
78535: 05/02/02: Peter Soerensen: EDK IPIF Wizard : How to get started?
78541: 05/02/03: g. giachella: Altera PLL and Timing Analysis
    78573: 05/02/03: Thomas Entner: Re: Altera PLL and Timing Analysis
    78584: 05/02/03: Ben Twijnstra: Re: Altera PLL and Timing Analysis
    78607: 05/02/03: g. giachella: Re: Altera PLL and Timing Analysis
78542: 05/02/03: Ken: Source of reset for synchronous reset can lead to metastability?
    78550: 05/02/03: Mike Treseler: Re: Source of reset for synchronous reset can lead to metastability?
    78567: 05/02/03: Hal Murray: Re: Source of reset for synchronous reset can lead to metastability?
    78596: 05/02/03: Jon Elson: Re: Source of reset for synchronous reset can lead to metastability?
        78608: 05/02/04: Hal Murray: Re: Source of reset for synchronous reset can lead to metastability?
            78993: 05/02/10: Jon Elson: Re: Source of reset for synchronous reset can lead to metastability?
    79681: 05/02/23: pdq: re:Source of reset for synchronous reset can lead to metastabil
78544: 05/02/03: Alois Huber: How to handle clock skew?
    78549: 05/02/03: Mike Treseler: Re: How to handle clock skew?
    78551: 05/02/03: Jezwold: Re: How to handle clock skew?
    79680: 05/02/23: pdq: re:How to handle clock skew?
78547: 05/02/03: kcl: problem with Modelsim 5.8 Xilinx Edition
    78548: 05/02/03: Mike Treseler: Re: problem with Modelsim 5.8 Xilinx Edition
    78554: 05/02/03: Andrea Sabatini: Re: problem with Modelsim 5.8 Xilinx Edition
        78556: 05/02/03: kcl: Re: problem with Modelsim 5.8 Xilinx Edition
    78651: 05/02/04: Hans: Re: problem with Modelsim 5.8 Xilinx Edition
    80306: 05/03/03: gja: Re: problem with Modelsim 5.8 Xilinx Edition
78553: 05/02/03: Hur: Q, compile option, mb-gcc
    78557: 05/02/03: Jezwold: Re: Q, compile option, mb-gcc
    78564: 05/02/03: Paul Hartke: Re: Q, compile option, mb-gcc
78559: 05/02/03: Stef: gdb-stib and microblaze
78560: 05/02/03: Sergio: PACE error
    78977: 05/02/10: Christoph Brinkhaus: Re: PACE error
78562: 05/02/03: Mr M: Help, i'm geting warnings :-(
    78565: 05/02/03: Jonathan Bromley: Re: Help, i'm geting warnings :-(
        78575: 05/02/03: Mr M: Re: Help, i'm geting warnings :-(
    78574: 05/02/03: Jezwold: Re: Help, i'm geting warnings :-(
78563: 05/02/03: Ann: Help on a FPGA design
    78566: 05/02/03: Symon: Re: Help on a FPGA design
        78570: 05/02/03: Ann: Re: Help on a FPGA design
            78572: 05/02/03: rickman: Re: Help on a FPGA design
                78576: 05/02/03: Ann: Re: Help on a FPGA design
                78577: 05/02/03: rickman: Re: Help on a FPGA design
    78580: 05/02/03: Ann: Re: Help on a FPGA design
    78581: 05/02/03: Ann: Re: Help on a FPGA design
    78582: 05/02/03: Ann: Re: Help on a FPGA design
    78620: 05/02/04: Ann: Re: Help on a FPGA design
        78891: 05/02/09: PNowe: Re: Help on a FPGA design
    79414: 05/02/18: AL: Re: Help on a FPGA design
78568: 05/02/03: Evan Lavelle: CLOCK_SIGNAL constraint/XST?
    80542: 05/03/08: rocky: original clock
78578: 05/02/03: Gabor: Re: Help on a FPGA design
78588: 05/02/03: Roel: RoseRT + Threadx + Xilinx Microblaze
    78661: 05/02/04: Dan Henry: Re: RoseRT + Threadx + Xilinx Microblaze
78595: 05/02/03: Gabor: Re: Help on a FPGA design
78611: 05/02/04: SeungHeun, Lee: Finding DDR SDRAM SODIMM(200 pin) socket.
    78621: 05/02/04: Gabor: Re: Finding DDR SDRAM SODIMM(200 pin) socket.
78617: 05/02/04: Tim Verstraete: EDK + user ip : can't find library
78618: 05/02/04: =?ISO-8859-1?Q?Matthias_M=FCller?=: Xilinx Virtex4 / Spartan3 High Speed Designs
    78622: 05/02/04: Purvesh: Re: Xilinx Virtex4 / Spartan3 High Speed Designs
        78649: 05/02/05: Jim Granville: Re: Xilinx Virtex4 / Spartan3 High Speed Designs
    78629: 05/02/04: Peter Alfke: Re: Xilinx Virtex4 / Spartan3 High Speed Designs
    78632: 05/02/04: Kevin Brown: Re: Xilinx Virtex4 / Spartan3 High Speed Designs
    78633: 05/02/04: Peter Alfke: Re: Xilinx Virtex4 / Spartan3 High Speed Designs
    78641: 05/02/04: Peter Alfke: Re: Xilinx Virtex4 / Spartan3 High Speed Designs
78619: 05/02/04: Rosalva Carrascosa: I have a problem with Excalibur Stripe Simulator(ESS)
78623: 05/02/04: Jedi: NIOS2 toolchain rebuild...
    79572: 05/02/21: Jon Beniston: Re: NIOS2 toolchain rebuild...
        79583: 05/02/21: Jedi: Re: NIOS2 toolchain rebuild...
78624: 05/02/04: Giani: How to locate a net in the design
    78625: 05/02/04: Gabor: Re: How to locate a net in the design
78626: 05/02/04: Nicolas Matringe: Altera, QuartusII and internal tristates
    78663: 05/02/05: Subroto Datta: Re: Altera, QuartusII and internal tristates
78628: 05/02/04: <dotexe@gmail.com>: Beginner : problem in Xilinx Platform Studio with selection of board names
78630: 05/02/04: Sergio: help "bank does not exist"
78634: 05/02/04: Francesco Poderico: C compiler for Picoblaze
    79358: 05/02/17: pico: Re: C compiler for Picoblaze
    79685: 05/02/23: Moti Cohen: re:C compiler for Picoblaze
    79688: 05/02/23: ramy: Re: C compiler for Picoblaze
    79769: 05/02/24: zotya: re:C compiler for Picoblaze
    81201: 05/03/19: Sir Pal: Ask for PicoBlaze C compiler
    81695: 05/03/30: tonyphan: C compiler for Picoblaze - FPGA
        81698: 05/03/30: Antti Lukats: Re: C compiler for Picoblaze - FPGA
            81700: 05/03/30: Thomas Entner: Re: C compiler for Picoblaze - FPGA
                81701: 05/03/30: Antti Lukats: Re: C compiler for Picoblaze - FPGA
    81696: 05/03/30: tonyphan: C compiler for Picoblaze - FPGA
    87103: 05/07/15: fahadislam2002: Plz send C compiler for picoblaze with manual
78636: 05/02/04: <jjohnson@cs.ucf.edu>: PPC on Virtex2P: Jumpstart, recommended reading?
    78647: 05/02/04: MM: Re: PPC on Virtex2P: Jumpstart, recommended reading?
    78659: 05/02/04: Nju Njoroge: Re: PPC on Virtex2P: Jumpstart, recommended reading?
    78676: 05/02/05: Duane Clark: Re: PPC on Virtex2P: Jumpstart, recommended reading?
78639: 05/02/04: Alex: Spartan-3 Starter Kit supplier in the UK?
    78645: 05/02/04: Leon Heller: Re: Spartan-3 Starter Kit supplier in the UK?
    78646: 05/02/04: Jezwold: Re: Spartan-3 Starter Kit supplier in the UK?
    78648: 05/02/04: Thomas Entner: Re: Spartan-3 Starter Kit supplier in the UK?
    78665: 05/02/05: Ben Popoola: Re: Spartan-3 Starter Kit supplier in the UK?
    78668: 05/02/05: Mike Harrison: Re: Spartan-3 Starter Kit supplier in the UK?
        78672: 05/02/05: Antti Lukats: Re: Spartan-3 Starter Kit supplier in the UK?
    78669: 05/02/05: Alex: Re: Spartan-3 Starter Kit supplier in the UK?
        78953: 05/02/10: nospam: Re: Spartan-3 Starter Kit supplier in the UK?
            78959: 05/02/10: newman5382: Re: Spartan-3 Starter Kit supplier in the UK?
                78966: 05/02/10: nospam: Re: Spartan-3 Starter Kit supplier in the UK?
                    78967: 05/02/10: newman5382: Re: Spartan-3 Starter Kit supplier in the UK?
                        78972: 05/02/10: nospam: Re: Spartan-3 Starter Kit supplier in the UK?
                            78976: 05/02/10: newman5382: Re: Spartan-3 Starter Kit supplier in the UK?
                78968: 05/02/10: Carsten: Re: Spartan-3 Starter Kit supplier in the UK?
                79813: 05/02/24: Carsten: Re: Spartan-3 Starter Kit supplier in the UK?
    79793: 05/02/24: Newman: Re: Spartan-3 Starter Kit supplier in the UK?
78643: 05/02/04: Mike: VoIP on XESS XSB
78652: 05/02/04: Matthias Alles: Multi-Master problem with OPB
78653: 05/02/04: Jack: memory size of C code
    78654: 05/02/04: <junkmail@fastertechnology.com>: Re: memory size of C code
78657: 05/02/04: pnc: Orcad schematic and footprint libraries for Xilinx Spartan 3 FPGA's
    78660: 05/02/04: Symon: Re: Orcad schematic and footprint libraries for Xilinx Spartan 3 FPGA's
        78662: 05/02/05: Bob: Re: Orcad schematic and footprint libraries for Xilinx Spartan 3 FPGA's
            78667: 05/02/05: Antonio Pasini: Re: Orcad schematic and footprint libraries for Xilinx Spartan 3 FPGA's
    78664: 05/02/04: pnc: Re: Orcad schematic and footprint libraries for Xilinx Spartan 3 FPGA's
    79267: 05/02/16: Jim Wu: Re: Orcad schematic and footprint libraries for Xilinx Spartan 3 FPGA's
        79288: 05/02/16: Symon: Re: Orcad schematic and footprint libraries for Xilinx Spartan 3 FPGA's
78666: 05/02/05: design: ambiguous number of BLOCK RAM in SPARTAN3
    78752: 05/02/07: John_H: Re: ambiguous number of BLOCK RAM in SPARTAN3
78670: 05/02/05: Antti Lukats: new MicroBlaze uClinux build platform anybody having full success ?
78675: 05/02/05: Peter Soerensen: EDK+IPIF: Customizing wizard result
    78678: 05/02/05: Duane Clark: Re: EDK+IPIF: Customizing wizard result
        78837: 05/02/08: Yttrium: Re: EDK+IPIF: Customizing wizard result
    78693: 05/02/06: Moti: Re: EDK+IPIF: Customizing wizard result
        78836: 05/02/08: Yttrium: Re: EDK+IPIF: Customizing wizard result
78677: 05/02/05: Patrick: Coprocessor "Standalone"
78679: 05/02/05: Matthias Alles: OPB ZBT
    78687: 05/02/05: Paul Hartke: Re: OPB ZBT
78680: 05/02/05: Jedi: Altera's NIOS2 examples...
    78682: 05/02/05: Michal: Re: Altera's NIOS2 examples...
    78684: 05/02/05: Paul Leventis (at home): Re: Altera's NIOS2 examples...
    78686: 05/02/05: Kenneth Land: Re: Altera's NIOS2 examples...
78681: 05/02/06: C3: Digilent JTAG cable parallel port pinout (Spartan 3)
    78683: 05/02/06: Rich Webb: Re: Digilent JTAG cable parallel port pinout (Spartan 3)
        78691: 05/02/06: C3: Re: Digilent JTAG cable parallel port pinout (Spartan 3)
            78696: 05/02/06: Rich Webb: Re: Digilent JTAG cable parallel port pinout (Spartan 3)
                78721: 05/02/07: C3: Re: Digilent JTAG cable parallel port pinout (Spartan 3)
78694: 05/02/06: KCL: error in xst
    78695: 05/02/06: KCL: Re: error in xst
78699: 05/02/06: Moti: Debug module and bufg in Xilinx EDK
78703: 05/02/06: Philipp: How to fix this synthese warnings?
    78705: 05/02/06: Paul Leventis (at home): Re: How to fix this synthese warnings?
        78707: 05/02/06: Philipp: Re: How to fix this synthese warnings?
            78713: 05/02/06: Walter Dvorak: Re: How to fix this synthese warnings?
78704: 05/02/06: Jack: warning messages,NgdBuild:454,DesignRules:331
    78737: 05/02/07: Philipp: Re: warning messages,NgdBuild:454,DesignRules:331
    78738: 05/02/07: Moti: Re: warning messages,NgdBuild:454,DesignRules:331
    78759: 05/02/07: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: warning messages,NgdBuild:454,DesignRules:331
        78806: 05/02/08: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: warning messages,NgdBuild:454,DesignRules:331
    78772: 05/02/07: Jack: Re: warning messages,NgdBuild:454,DesignRules:331
78712: 05/02/06: Ram: problem with xilinx platform studio 6.2i
    78714: 05/02/06: Shalin Sheth: Re: problem with xilinx platform studio 6.2i
    78792: 05/02/07: Ram: Re: problem with xilinx platform studio 6.2i
78716: 05/02/06: AugustoEinsfeldt: ISE6.x/iMPACT, JTAG fails after any completed command
    78767: 05/02/07: AugustoEinsfeldt: Re: ISE6.x/iMPACT, JTAG fails after any completed command
    78790: 05/02/07: AugustoEinsfeldt: Re: ISE6.x/iMPACT, JTAG fails after any completed command
78718: 05/02/07: Mouarf: GND and VCC pins
    78719: 05/02/07: Bob: Re: GND and VCC pins
        78722: 05/02/07: Mouarf: Re: GND and VCC pins
            78758: 05/02/07: Mouarf: Re: GND and VCC pins
    78720: 05/02/06: Peter Alfke: Re: GND and VCC pins
    78723: 05/02/06: Peter Alfke: Re: GND and VCC pins
78724: 05/02/06: Pete Fraser: Quality of Xilinx ML401 video output?
    78728: 05/02/07: Tommy Thorn: Re: Quality of Xilinx ML401 video output?
78729: 05/02/07: Martin Schoeberl: Quartus project files
    78773: 05/02/07: Subroto Datta: Re: Quartus project files
        78794: 05/02/08: Martin Schoeberl: Re: Quartus project files
    78842: 05/02/08: Subroto Datta: Re: Quartus project files
78730: 05/02/07: Antti Lukats: Xilinx makes dreams true :)
    78731: 05/02/07: Mike Harrison: Re: Xilinx makes dreams true :)
        78732: 05/02/07: Antti Lukats: Re: Xilinx makes dreams true :)
            78740: 05/02/07: Ziggy: Re: Xilinx makes dreams true :)
                78742: 05/02/07: Antti Lukats: Re: Xilinx makes dreams true :)
                    78756: 05/02/07: Ziggy: Re: Xilinx makes dreams true :)
                        78760: 05/02/07: Antti Lukats: Re: Xilinx makes dreams true :)
                            78770: 05/02/07: Ziggy: Re: Xilinx makes dreams true :)
                            79027: 05/02/11: Juha Turunen: Re: Xilinx makes dreams true :)
78734: 05/02/07: Martin Schoeberl: Cyclone configuration device
    78735: 05/02/07: Antti Lukats: Re: Cyclone configuration device
        78743: 05/02/07: Martin Schoeberl: Re: Cyclone configuration device
            78745: 05/02/07: Antti Lukats: Re: Cyclone configuration device
                78747: 05/02/07: Martin Schoeberl: Re: Cyclone configuration device
                    78748: 05/02/07: Antti Lukats: Re: Cyclone configuration device
                        78750: 05/02/07: Martin Schoeberl: Re: Cyclone configuration device
    78739: 05/02/07: Jedi: Re: Cyclone configuration device
        78751: 05/02/07: Martin Schoeberl: Re: Cyclone configuration device
            78753: 05/02/07: Jedi: Re: Cyclone configuration device
                78885: 05/02/09: Jedi: Re: Cyclone configuration device
                78892: 05/02/09: Nial Stewart: Re: Cyclone configuration device
78736: 05/02/07: Stef: xilkernel and threads
    78763: 05/02/07: Vasanth Asokan: Re: xilkernel and threads
78741: 05/02/07: bob: Virtex4: where is ICAP?
    79272: 05/02/16: TJB: Re: Virtex4: where is ICAP?
78744: 05/02/07: Kolja Sulimma: Impact with Linux Kernel 2.6.x
    78867: 05/02/09: Joerg Ritter: Re: Impact with Linux Kernel 2.6.x
        78900: 05/02/09: Hein Roehrig: Re: Impact with Linux Kernel 2.6.x
            78933: 05/02/10: Joerg Ritter: Re: Impact with Linux Kernel 2.6.x
        78955: 05/02/10: Hein Roehrig: Re: Impact with Linux Kernel 2.6.x
    78996: 05/02/11: Philip Freidin: Re: Impact with Linux Kernel 2.6.x
78746: 05/02/07: Gabor: WARNING:Xst:382 - Why so many?
    78749: 05/02/07: Jan Gray: Re: WARNING:Xst:382 - Why so many?
    78768: 05/02/07: David Dye: Re: WARNING:Xst:382 - Why so many?
        78775: 05/02/07: David Dye: Re: WARNING:Xst:382 - Why so many?
    78769: 05/02/07: Gabor: Re: WARNING:Xst:382 - Why so many?
78754: 05/02/07: adrian: xilinx parallel cable IV
    78755: 05/02/07: Antti Lukats: Re: xilinx parallel cable IV
    78757: 05/02/07: newman5382: Re: xilinx parallel cable IV
    78865: 05/02/09: John Adair: Re: xilinx parallel cable IV
78762: 05/02/07: Philipp: Mixed Language synthese Microblaze
78764: 05/02/07: KCL: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
78766: 05/02/07: Reddy: comp.arch.fpga : Generate libraries and BSP`s
    78866: 05/02/09: sudheer: Re: comp.arch.fpga : Generate libraries and BSP`s
78771: 05/02/07: tesla: usb 2.0 micromodule
    78777: 05/02/08: Kolja Sulimma: Re: usb 2.0 micromodule
        78856: 05/02/09: Antti Lukats: Re: usb 2.0 micromodule
            78896: 05/02/09: tesla: Re: usb 2.0 micromodule
    78912: 05/02/09: Antti Lukats: Re: usb 2.0 micromodule
78774: 05/02/07: Sylvain Munaut: opb_ddr connection to DDR chips
    78812: 05/02/08: Sean Durkin: Re: opb_ddr connection to DDR chips
78776: 05/02/07: John Maher: MAP problem
    78786: 05/02/07: Bret Wade: Re: MAP problem
78779: 05/02/07: <tovijayakumar@yahoo.com>: Max. Operating Frequency - Timing report
    78787: 05/02/07: Symon: Re: Max. Operating Frequency - Timing report
        78788: 05/02/08: jtw: Re: Max. Operating Frequency - Timing report
78780: 05/02/07: =?iso-8859-1?B?6U1L4A==?=: FPGA Prototyping
78781: 05/02/07: sg: SATA and RocketIO
    78831: 05/02/08: Antti Lukats: Re: SATA and RocketIO
        78858: 05/02/09: Antti Lukats: Re: SATA and RocketIO
            79083: 05/02/13: Ajith: Re: SATA and RocketIO
                79095: 05/02/14: Antti Lukats: Re: SATA and RocketIO
    78857: 05/02/09: sg: Re: SATA and RocketIO
78793: 05/02/08: Alan: System Generator: does it support high-level programming?
78795: 05/02/08: Martin Schoeberl: SimmStick FPGA module
    78796: 05/02/08: Antti Lukats: Re: SimmStick FPGA module
        78801: 05/02/08: Martin Schoeberl: Re: SimmStick FPGA module
            78803: 05/02/08: Antti Lukats: Re: SimmStick FPGA module
            78809: 05/02/08: Leon Heller: Re: SimmStick FPGA module
            78811: 05/02/08: Sylvain Munaut: Re: SimmStick FPGA module
                78827: 05/02/08: Martin Schoeberl: Re: SimmStick FPGA module
                    78835: 05/02/08: Sylvain Munaut: Re: SimmStick FPGA module
                        78862: 05/02/09: Martin Schoeberl: Re: SimmStick FPGA module
    78807: 05/02/08: Kolja Sulimma: Re: SimmStick FPGA module
        78808: 05/02/08: Antti Lukats: Re: SimmStick FPGA module
        78814: 05/02/08: Antti Lukats: Re: SimmStick FPGA module
            78821: 05/02/08: Laurent Gauch: Re: SimmStick FPGA module
        78815: 05/02/08: Laurent Gauch: Re: SimmStick FPGA module
        78825: 05/02/08: Martin Schoeberl: Re: SimmStick FPGA module
    78829: 05/02/08: Martin Schoeberl: Re: SimmStick FPGA module
    78841: 05/02/08: Andras Tantos: Re: SimmStick FPGA module
    78845: 05/02/08: Ben Popoola: Re: SimmStick FPGA module
    78878: 05/02/09: Nial Stewart: Re: SimmStick FPGA module
    78921: 05/02/10: Martin Schoeberl: Re: SimmStick FPGA module
        78986: 05/02/11: Jim Granville: Re: SimmStick FPGA module
            79128: 05/02/14: Martin Schoeberl: Re: SimmStick FPGA module
                79144: 05/02/15: Ulf Samuelsson: Re: SimmStick FPGA module
                79145: 05/02/15: Jim Granville: Re: SimmStick FPGA module
                    79151: 05/02/15: Martin Schoeberl: Re: SimmStick FPGA module
                79149: 05/02/15: Martin Schoeberl: Re: SimmStick FPGA module
    79133: 05/02/14: Pablo Bleyer Kocik: Re: SimmStick FPGA module
78798: 05/02/08: ALuPin: Retaining not used nodes
    78802: 05/02/08: mk: Re: Retaining not used nodes
        78813: 05/02/08: Christos: Re: Retaining not used nodes
78799: 05/02/08: Antti Lukats: V4LX25-ES and systemACE
    78816: 05/02/08: newman5382: Re: V4LX25-ES and systemACE
        78818: 05/02/08: Antti Lukats: Re: V4LX25-ES and systemACE
            78822: 05/02/08: newman5382: Re: V4LX25-ES and systemACE
                78824: 05/02/08: newman5382: Re: V4LX25-ES and systemACE
                78826: 05/02/08: Antti Lukats: Re: V4LX25-ES and systemACE
                    78840: 05/02/08: Bo: Re: V4LX25-ES and systemACE
                        78854: 05/02/09: Antti Lukats: Re: V4LX25-ES and systemACE
                    78851: 05/02/09: newman5382: Re: V4LX25-ES and systemACE
                        78855: 05/02/09: Antti Lukats: Re: V4LX25-ES and systemACE
                            78859: 05/02/09: newman5382: Re: V4LX25-ES and systemACE
                        78927: 05/02/10: newman5382: Re: V4LX25-ES and systemACE
                            78928: 05/02/10: Antti Lukats: Re: V4LX25-ES and systemACE
                                79015: 05/02/11: Rudolf Usselmann: Re: V4LX25-ES and systemACE
                                    79018: 05/02/11: Antti Lukats: Re: V4LX25-ES and systemACE
    78838: 05/02/08: <widding@birger.com>: Re: V4LX25-ES and systemACE
    79232: 05/02/15: Peter Ryser: Re: V4LX25-ES and systemACE
78800: 05/02/08: Kedar P. Apte: BFM Basics
    78817: 05/02/08: newman5382: Re: BFM Basics
78804: 05/02/08: <usrdr@yahoo.co.uk>: Microblaze and Picoblaze
    78805: 05/02/08: Antti Lukats: Re: Microblaze and Picoblaze
78810: 05/02/08: Thomas: Input Timing Specification
    78828: 05/02/08: John_H: Re: Input Timing Specification
        78860: 05/02/09: Thomas: Re: Input Timing Specification
            79398: 05/02/18: Jim Wu: Re: Input Timing Specification
78819: 05/02/08: Gabor: Re: opb_ddr connection to DDR chips
    78846: 05/02/08: Sean Durkin: Re: opb_ddr connection to DDR chips
        78847: 05/02/09: Sylvain Munaut: Re: opb_ddr connection to DDR chips
78832: 05/02/08: Jack: BRAM utilization - how to calculate
    78833: 05/02/08: Antti Lukats: Re: BRAM utilization - how to calculate
    78834: 05/02/08: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: BRAM utilization - how to calculate
78839: 05/02/08: Bo: vxWorks soft boot with ML310/ VirtexIIPro
78843: 05/02/08: Jedi: quartus "make clean" ?
    78844: 05/02/08: Subroto Datta: Re: quartus "make clean" ?
    78864: 05/02/09: Martin Schoeberl: Re: quartus "make clean" ?
78849: 05/02/08: Ram: virtex4 distributed RAM
    78850: 05/02/08: Peter Alfke: Re: virtex4 distributed RAM
        78906: 05/02/09: Ram: Re: virtex4 distributed RAM
    78894: 05/02/09: Vladislav Muravin: Re: virtex4 distributed RAM
        78903: 05/02/09: Ram: Re: virtex4 distributed RAM
    78902: 05/02/09: Ram: Re: virtex4 distributed RAM
78852: 05/02/08: SD: .vho (Xilinx Core Generator) to .vhd ??
    78853: 05/02/08: Jezwold: Re: .vho (Xilinx Core Generator) to .vhd ??
    78880: 05/02/09: David Stanford: Re: .vho (Xilinx Core Generator) to .vhd ??
78861: 05/02/09: Ram: Beginner: running EDK 6.3 in linux
    78879: 05/02/09: Bertrand Rousseau: Re: Beginner: running EDK 6.3 in linux
    78897: 05/02/09: Ram: Re: Beginner: running EDK 6.3 in linux
78863: 05/02/09: ALuPin: Resetting FIFO
    78876: 05/02/09: Gabor: Re: Resetting FIFO
78868: 05/02/09: sudheer: newbie : IP cores
    78874: 05/02/09: <David.Stanford@gmail.com>: Re: newbie : IP cores
    78920: 05/02/10: sudheer: Re: newbie : IP cores
    78943: 05/02/10: sudheer: Re: newbie : IP cores
78869: 05/02/09: nachum: laptop for fpga design - acer ferrari?
    78872: 05/02/09: John Adair: Re: laptop for fpga design - acer ferrari?
        78890: 05/02/09: Thomas Rudloff: Re: laptop for fpga design - acer ferrari?
78870: 05/02/09: nachum: quartus - Linux or Windows
    78889: 05/02/09: Subroto Datta: Re: quartus - Linux or Windows
    78929: 05/02/10: nachum: Re: quartus - Linux or Windows
78871: 05/02/09: Michael Pieber: Beginner question: How to interface ram an Memec Spartan IIE - Board
78873: 05/02/09: <andyesquire@hotmail.com>: Learning resources for Xilinx memory controllers
    78946: 05/02/10: Brian Drummond: Re: Learning resources for Xilinx memory controllers
    80140: 05/03/01: Andrew Dyer: Re: Learning resources for Xilinx memory controllers
78875: 05/02/09: Dave Colson: ProAsic3 (PA3)
    78877: 05/02/09: David Stanford: Re: ProAsic3 (PA3)
    78913: 05/02/10: rickman: Re: ProAsic3 (PA3)
        78915: 05/02/10: Antti Lukats: Re: ProAsic3 (PA3)
        78945: 05/02/10: Dave Colson: Re: ProAsic3 (PA3)
            79004: 05/02/10: rickman: Re: ProAsic3 (PA3)
                79023: 05/02/11: Dave Colson: Re: ProAsic3 (PA3)
        79005: 05/02/11: rickman: Re: ProAsic3 (PA3)
            79011: 05/02/11: Antti Lukats: Re: ProAsic3 (PA3)
                79036: 05/02/11: Tobias Weingartner: Re: ProAsic3 (PA3)
                    79037: 05/02/11: Antti Lukats: Re: ProAsic3 (PA3)
    78918: 05/02/10: Thomas Stanka: Re: ProAsic3 (PA3)
    79300: 05/02/17: limours: Re: ProAsic3 (PA3)
78881: 05/02/09: crazyd: ASIC vs DSP vs FPGA
    78882: 05/02/09: Al Clark: Re: ASIC vs DSP vs FPGA
    78886: 05/02/09: Nial Stewart: Re: ASIC vs DSP vs FPGA
    78887: 05/02/09: Paul: Re: ASIC vs DSP vs FPGA
78883: 05/02/09: ALuPin: Global clock as input of a FF
78884: 05/02/09: Sylvain Munaut: Local clocking in spartan 3
    79000: 05/02/10: Marc Randolph: Re: Local clocking in spartan 3
78888: 05/02/09: Rick Fox: Plea for help with MAX7000S
    78910: 05/02/10: Ben Popoola: Re: Plea for help with MAX7000S
        78911: 05/02/10: Wing Fong Wong: Re: Plea for help with MAX7000S
        78947: 05/02/10: Ricardo: Re: Plea for help with MAX7000S
            78979: 05/02/10: Ben Popoola: Re: Plea for help with MAX7000S
    78950: 05/02/10: Martin Thompson: Re: Plea for help with MAX7000S
78893: 05/02/09: Bertrand Rousseau: Newbie: add opb_ddr to a project
    78895: 05/02/09: Sean Durkin: Re: Newbie: add opb_ddr to a project
    78898: 05/02/09: Bertrand Rousseau: Re: Newbie: add opb_ddr to a project
78899: 05/02/09: fpgabuilder: second flop in asyn reset distribution
    78901: 05/02/09: Hal Murray: Re: second flop in asyn reset distribution
        78917: 05/02/10: glen herrmannsfeldt: Re: second flop in asyn reset distribution
    79028: 05/02/11: Ansgar Bambynek: Re: second flop in asyn reset distribution
        79045: 05/02/11: Hal Murray: Re: second flop in asyn reset distribution
        79076: 05/02/13: Hal Murray: Re: second flop in asyn reset distribution
        79089: 05/02/14: Philip Freidin: Re: second flop in asyn reset distribution
    79031: 05/02/11: fpgabuilder: Re: second flop in asyn reset distribution
    79050: 05/02/11: Peter Alfke: Re: second flop in asyn reset distribution
    79052: 05/02/11: fpgabuilder: Re: second flop in asyn reset distribution
    79054: 05/02/11: Peter Alfke: Re: second flop in asyn reset distribution
    79071: 05/02/13: fpgabuilder: Re: second flop in asyn reset distribution
    79077: 05/02/13: Peter Alfke: Re: second flop in asyn reset distribution
    79110: 05/02/14: fpgabuilder: Re: second flop in asyn reset distribution
78904: 05/02/09: John Davidson: newbie question
78907: 05/02/09: sdaq: In need of some life-changing advice
    78914: 05/02/10: Antti Lukats: Re: In need of some life-changing advice
        79079: 05/02/14: John Williams: Re: In need of some life-changing advice
    78916: 05/02/10: Symon: Re: In need of some life-changing advice
    78988: 05/02/10: sdaq: Re: In need of some life-changing advice
78908: 05/02/09: Peter Alfke: See the next high-wire act, this time on power consumption
    79078: 05/02/13: Peter Alfke: Re: See the next high-wire act, this time on power consumption
        79123: 05/02/14: austin: Re: See the next high-wire act, this time on power consumption
            79199: 05/02/15: Austin Lesea: Re: See the next high-wire act, this time on power consumption
                79206: 05/02/15: Falk Brunner: Re: See the next high-wire act, this time on power consumption
                    79226: 05/02/15: Austin Lesea: Re: See the next high-wire act, this time on power consumption
                        79234: 05/02/16: Jim Granville: Re: See the next high-wire act, this time on power consumption
                            79237: 05/02/15: austin: Re: See the next high-wire act, this time on power consumption
                            79273: 05/02/16: Austin Lesea: Re: See the next high-wire act, this time on power consumption
                                79311: 05/02/17: Brian Drummond: Re: See the next high-wire act, this time on power consumption
        79146: 05/02/15: Jim Granville: Re: See the next high-wire act, this time on power consumption
            79208: 05/02/16: Jim Granville: Re: See the next high-wire act, this time on power consumption
    79119: 05/02/14: Paul Leventis: Re: See the next high-wire act, this time on power consumption
    79141: 05/02/14: Peter Alfke: Re: See the next high-wire act, this time on power consumption
    79180: 05/02/15: Paul Leventis: Re: See the next high-wire act, this time on power consumption
    79183: 05/02/15: Paul Leventis: Re: See the next high-wire act, this time on power consumption
    79184: 05/02/15: Paul Leventis: Re: See the next high-wire act, this time on power consumption
    79214: 05/02/15: Paul Leventis: Re: See the next high-wire act, this time on power consumption
    79218: 05/02/15: Peter Alfke: Re: See the next high-wire act, this time on power consumption
    79224: 05/02/15: Paul Leventis: Re: See the next high-wire act, this time on power consumption
    79230: 05/02/15: Peter Alfke: Re: See the next high-wire act, this time on power consumption
    79239: 05/02/15: Paul Leventis: Re: See the next high-wire act, this time on power consumption
78909: 05/02/10: Bob: theta(jb) for V2-PRO in FG676
    79001: 05/02/10: Marc Randolph: Re: theta(jb) for V2-PRO in FG676
78919: 05/02/10: Marco: HELP: Graphic LCD + Keypad + printer
    78939: 05/02/10: KCL: Re: Graphic LCD + Keypad + printer
    78971: 05/02/10: Marco: Re: Graphic LCD + Keypad + printer
78922: 05/02/10: sudheer: Newbie : Xilinx Ml310 platform
    78924: 05/02/10: Antti Lukats: Re: Newbie : Xilinx Ml310 platform
    78941: 05/02/10: sudheer: Re: Newbie : Xilinx Ml310 platform
        78999: 05/02/10: Hal Murray: Re: Newbie : Xilinx Ml310 platform
    79091: 05/02/14: sudheer: Re: Newbie : Xilinx Ml310 platform
78923: 05/02/10: PH: Flash problem
    78925: 05/02/10: Antti Lukats: Re: Flash problem
    78991: 05/02/10: newman5382: Re: Flash problem
78926: 05/02/10: Kedar P. Apte: Basics of BFM
    79056: 05/02/12: Christian Schneider: Re: Basics of BFM
78930: 05/02/10: Antti Lukats: Writing IP-Cores while sleeping ;)
    78931: 05/02/10: Mouarf: Re: Writing IP-Cores while sleeping ;)
        78932: 05/02/10: Antti Lukats: Re: Writing IP-Cores while sleeping ;)
            78934: 05/02/10: Mouarf: Re: Writing IP-Cores while sleeping ;)
            78935: 05/02/10: Mouarf: Re: Writing IP-Cores while sleeping ;)
                78936: 05/02/10: Antti Lukats: Re: Writing IP-Cores while sleeping ;)
                    78937: 05/02/10: Mouarf: Re: Writing IP-Cores while sleeping ;)
                        78938: 05/02/10: Antti Lukats: Re: Writing IP-Cores while sleeping ;)
                            78940: 05/02/10: Mouarf: Re: Writing IP-Cores while sleeping ;)
                            78965: 05/02/10: Martin Schoeberl: Re: Writing IP-Cores while sleeping ;)
                                79003: 05/02/10: Eric Smith: Re: Writing IP-Cores while sleeping ;)
    79002: 05/02/10: Eric Smith: Re: Writing IP-Cores while sleeping ;)
    79024: 05/02/11: Kryten: Re: Writing IP-Cores while sleeping ;)
        79034: 05/02/11: Tobias Weingartner: Re: Writing IP-Cores while sleeping ;)
            79047: 05/02/11: Eric Smith: Re: Writing IP-Cores while sleeping ;)
        79046: 05/02/11: Eric Smith: Re: Writing IP-Cores while sleeping ;)
78942: 05/02/10: ALuPin: Virtual Pins in QuartusII
    78948: 05/02/10: =?ISO-8859-1?Q?Andr=E9s?=: Re: Virtual Pins in QuartusII
        78961: 05/02/10: Christos: Re: Virtual Pins in QuartusII
            79013: 05/02/11: =?ISO-8859-1?Q?Andr=E9s?=: Re: Virtual Pins in QuartusII
        79248: 05/02/15: Vaughn Betz: Re: Virtual Pins in QuartusII
    78952: 05/02/10: <plz.spam.here@gmail.com>: Re: Virtual Pins in QuartusII
78944: 05/02/10: <gavbiggs@yahoo.co.uk>: FPGA design problem
    79007: 05/02/11: Thomas Stanka: Re: FPGA design problem
        79055: 05/02/12: jtw: Re: FPGA design problem
78949: 05/02/10: Mike Treseler: Re: FPGA synthesis problems
78951: 05/02/10: Phil Tomson: ROM inference in Spartan3
    79017: 05/02/11: Phil Tomson: Re: ROM inference in Spartan3
        79040: 05/02/11: KCL: Re: ROM inference in Spartan3
            79059: 05/02/12: Phil Tomson: Re: ROM inference in Spartan3 (ISE bug)
78954: 05/02/10: phoenix3051: Problem with JBits 3.0 Tutorial
    78970: 05/02/10: phoenix3051: Re: Problem with JBits 3.0 Tutorial
78956: 05/02/10: Jeffsen (dot): GEMAC and MGT on ML300
    78957: 05/02/10: Jeffsen (dot): Re: GEMAC and MGT on ML300
    78962: 05/02/10: Antti Lukats: Re: GEMAC and MGT on ML300
78958: 05/02/10: adrian: XMD/GBD problems
    78960: 05/02/10: Moti: Re: XMD/GBD problems
    78963: 05/02/10: Moti: Re: XMD/GBD problems
    78964: 05/02/10: newman5382: Re: XMD/GBD problems
        78969: 05/02/10: adrian: Re: XMD/GBD problems
            78973: 05/02/10: newman5382: Re: XMD/GBD problems
                78980: 05/02/10: adrian: Re: XMD/GBD problems
78974: 05/02/10: Nahid: NIOS - newbie
    78983: 05/02/10: <plz.spam.here@gmail.com>: Re: NIOS - newbie
78978: 05/02/10: Clemens Ragger: Re: C program to big for microblaze?
78981: 05/02/10: Clemens Ragger: C program to big for microblaze?
    78985: 05/02/10: Jezwold: Re: C program to big for microblaze?
    79008: 05/02/11: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: C program to big for microblaze?
    79014: 05/02/11: Jeffsen: Re: C program to big for microblaze?
        79035: 05/02/11: Clemens Ragger: Re: C program to big for microblaze?
78982: 05/02/10: Marco: Sending text from fpga to printer
    78984: 05/02/10: Jezwold: Re: Sending text from fpga to printer
    78987: 05/02/10: Roel: Re: Sending text from fpga to printer
        79044: 05/02/11: Marco: Re: Sending text from fpga to printer
            79051: 05/02/12: Roel: Re: Sending text from fpga to printer
                79060: 05/02/12: Marco: Re: Sending text from fpga to printer
78989: 05/02/10: Ray Andraka: Variable phase shift on Spartan3 DCMs. Does it work?
    78992: 05/02/10: Peter Alfke: Re: Variable phase shift on Spartan3 DCMs. Does it work?
        78994: 05/02/10: Symon: Re: Variable phase shift on Spartan3 DCMs. Does it work?
            78995: 05/02/10: Austin Lesea: Re: Variable phase shift on Spartan3 DCMs. Does it work?
                78997: 05/02/10: Ray Andraka: Re: Variable phase shift on Spartan3 DCMs. Does it work?
                    79033: 05/02/11: John_H: Re: Variable phase shift on Spartan3 DCMs. Does it work?
                        79038: 05/02/11: Symon: Re: Variable phase shift on Spartan3 DCMs. Does it work?
                        79039: 05/02/11: Austin Lesea: Re: Variable phase shift on Spartan3 DCMs. Does it work?
                            79041: 05/02/11: John_H: Re: Variable phase shift on Spartan3 DCMs. Does it work?
78990: 05/02/11: T. Irmen: configuration problem xc2v6000/8000
78998: 05/02/10: <jneil@harris.com>: RocketIO in 32-bit Mode
    79020: 05/02/11: Marc Randolph: Re: RocketIO in 32-bit Mode
        79021: 05/02/11: Antti Lukats: Re: RocketIO in 32-bit Mode
79006: 05/02/10: <tangirala@gmail.com>: doubt on configuring FPGA
    79010: 05/02/10: Neo: Re: doubt on configuring FPGA
    79042: 05/02/11: Gabor: Re: doubt on configuring FPGA
79009: 05/02/11: Elder Costa: ISE versus Modelsim inconsistency and attribute definition
    79012: 05/02/11: Neo: Re: ISE versus Modelsim inconsistency and attribute definition
        79016: 05/02/11: Elder Costa: Re: ISE versus Modelsim inconsistency and attribute definition
    79022: 05/02/11: Brian Davis: Re: ISE versus Modelsim inconsistency and attribute definition
        79049: 05/02/11: Elder Costa: Re: ISE versus Modelsim inconsistency and attribute definition
            79140: 05/02/14: Mike Treseler: Re: ISE versus Modelsim inconsistency and attribute definition
            79223: 05/02/15: Elder Costa: Re: ISE versus Modelsim inconsistency and attribute definition
    79135: 05/02/14: Brian Davis: Re: ISE versus Modelsim inconsistency and attribute definition
    79137: 05/02/14: Brian Davis: Re: ISE versus Modelsim inconsistency and attribute definition
79019: 05/02/11: Christos: Altera's Megafunction altaccumulator
    79025: 05/02/11: Jezwold: Re: Altera's Megafunction altaccumulator
    79048: 05/02/11: Subroto Datta: Re: Altera's Megafunction altaccumulator
        79096: 05/02/14: Christos: Re: Altera's Megafunction altaccumulator
79026: 05/02/11: Phil Tomson: ISE and IEEE.Fixed_pkg (fixed point math for synth?)
    79030: 05/02/11: Jezwold: Re: ISE and IEEE.Fixed_pkg (fixed point math for synth?)
        79032: 05/02/11: Phil Tomson: Re: ISE and IEEE.Fixed_pkg (fixed point math for synth?)
    79053: 05/02/11: Jim Lewis: Re: ISE and IEEE.Fixed_pkg (fixed point math for synth?)
        79058: 05/02/12: Phil Tomson: Re: ISE and IEEE.Fixed_pkg (fixed point math for synth?)
79029: 05/02/11: Jedi: Why are the NIOS toolchain sources removed from Altera's ftp?
79043: 05/02/11: Tullio Grassi: xilinx MGT compatibility?
    79063: 05/02/12: Marc Randolph: Re: xilinx MGT compatibility?
79057: 05/02/12: Elinore: 2 microblaze access same BRAM ?
    79088: 05/02/14: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
        79100: 05/02/14: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
            79270: 05/02/16: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
                79285: 05/02/16: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
                    79320: 05/02/17: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
                        79336: 05/02/17: glen herrmannsfeldt: Re: 2 microblaze access same BRAM ?
                        79800: 05/02/24: TheMightyShaman: Re: 2 microblaze access same BRAM ?
                            79804: 05/02/24: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
                                79805: 05/02/24: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
                                    79857: 05/02/25: TheMightyShaman: Re: 2 microblaze access same BRAM ?
                        80073: 05/03/01: TheMightyShaman: Re: 2 microblaze access same BRAM ?
                            80075: 05/03/01: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
                                80078: 05/03/01: TheMightyShaman: Re: 2 microblaze access same BRAM ?
                                    80081: 05/03/01: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
                                        80172: 05/03/02: TheMightyShaman: Re: 2 microblaze access same BRAM ?
                                            80183: 05/03/02: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: 2 microblaze access same BRAM ?
    79099: 05/02/14: Elinore: Re: 2 microblaze access same BRAM ?
    79235: 05/02/15: Elinore: Re: 2 microblaze access same BRAM ?
    79281: 05/02/16: Elinore: Re: 2 microblaze access same BRAM ?
    79312: 05/02/17: Elinore: Re: 2 microblaze access same BRAM ?
79061: 05/02/12: zimmer: Xilinx : UCF
    79062: 05/02/12: zimmer: Re: Xilinx : UCF
79064: 05/02/13: samplify: Announcing Samplify for Windows: high-speed sampled data compression
    79222: 05/02/15: Norm Dresner: Re: Announcing Samplify for Windows: high-speed sampled data compression
    79321: 05/02/17: samplify: Re: Announcing Samplify for Windows: high-speed sampled data compression
79065: 05/02/13: =?ISO-8859-1?Q?Didier_M=E9quignon?=: Programmable clock problem
79066: 05/02/13: Preben: Fast counting in Spartan 3
    79067: 05/02/13: Peter Alfke: Re: Fast counting in Spartan 3
    79068: 05/02/13: newman5382: Re: Fast counting in Spartan 3
    79070: 05/02/13: Falk Brunner: Re: Fast counting in Spartan 3
    79072: 05/02/13: Uwe Bonnes: Re: Fast counting in Spartan 3
        79080: 05/02/14: Tommy Thorn: Re: Fast counting
            79081: 05/02/13: Pete Fraser: Re: Fast counting
                79086: 05/02/14: mk: Re: Fast counting
                    79090: 05/02/13: Symon: Re: Fast counting
            79082: 05/02/14: Tommy Thorn: Re: Fast counting
    79215: 05/02/15: Ray Andraka: Re: Fast counting in Spartan 3
        79216: 05/02/15: Tommy Thorn: Re: Fast counting in Spartan 3
    79277: 05/02/16: Preben Holm: Re: Fast counting in Spartan 3
        79348: 05/02/17: Antonio Pasini: Re: Fast counting in Spartan 3
79069: 05/02/13: Sylvain Munaut: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
    79097: 05/02/14: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
        79121: 05/02/14: Sylvain Munaut: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
            79124: 05/02/14: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
            79125: 05/02/14: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
            79160: 05/02/15: Sylvain Munaut: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
    79116: 05/02/14: Yong Zhu: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
        79126: 05/02/14: Sylvain Munaut: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
    79120: 05/02/14: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
    79127: 05/02/14: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
        79129: 05/02/14: Sylvain Munaut: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
            79168: 05/02/15: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                79196: 05/02/15: Sylvain Munaut: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                    79211: 05/02/15: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                        79212: 05/02/15: Antti Lukats: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                        79213: 05/02/15: Sylvain Munaut: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                            79225: 05/02/15: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                            79344: 05/02/17: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                                79350: 05/02/17: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                                79353: 05/02/17: Sylvain Munaut: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                                    79404: 05/02/18: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
    79156: 05/02/15: Rudolf Usselmann: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
        79157: 05/02/15: Antti Lukats: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
            79253: 05/02/16: Rudolf Usselmann: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
                79343: 05/02/17: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
        79162: 05/02/15: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
    79628: 05/02/22: Jonathan Dumaresq: Re: OPB <-> WhishBone wrapper (opb_wb_wrapper at opencores)
79073: 05/02/13: Brad Smallridge: Xilinx BRAM FIFO problems ModelSim Post Place and Route
    79075: 05/02/13: Mike Treseler: Re: Xilinx BRAM FIFO problems ModelSim Post Place and Route
        79098: 05/02/14: Brad Smallridge: Re: Xilinx BRAM FIFO problems ModelSim Post Place and Route
            79113: 05/02/14: Mike Treseler: Re: Xilinx BRAM FIFO problems ModelSim Post Place and Route
79074: 05/02/13: Fayette Sims: IPIF
    81755: 05/03/31: digi: re:IPIF
    81987: 05/04/05: xiuser: re:IPIF
    82056: 05/04/06: digi: re:IPIF
    83727: 05/05/05: nara_chak45: re:IPIF
79084: 05/02/13: fpgawizz: Using the 7 segment displays on Xilinx Spartan 3 kit
    79085: 05/02/14: newman5382: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
        79093: 05/02/14: KCL: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
            79094: 05/02/14: KCL: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
    79101: 05/02/14: <jeremy.webb@ieee.org>: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
        79102: 05/02/14: Tommy Thorn: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
            79104: 05/02/14: Tommy Thorn: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
    79103: 05/02/14: nospam: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
        79106: 05/02/14: fpgawizz: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
        79115: 05/02/14: Eric Crabill: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
            79132: 05/02/15: nospam: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
    79130: 05/02/14: <jeremy.webb@ieee.org>: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
    79217: 05/02/15: Shalin Sheth: Re: Using the 7 segment displays on Xilinx Spartan 3 kit
79087: 05/02/14: fpgawizz: Xilinx Spartan 3 kit - displaying unique numbers
    79092: 05/02/14: Juha Turunen: Re: Xilinx Spartan 3 kit - displaying unique numbers
79105: 05/02/14: <matthewlawrencecohen@yahoo.com>: clock division / multiplication in xilinx cpld
    79107: 05/02/14: Falk Brunner: Re: clock division / multiplication in xilinx cpld
    79108: 05/02/14: John_H: Re: clock division / multiplication in xilinx cpld
    79112: 05/02/14: Bertram Geiger: Re: clock division / multiplication in xilinx cpld
    79143: 05/02/14: Peter Alfke: Re: clock division / multiplication in xilinx cpld
79109: 05/02/14: Philipp: Weird Mircroblaze programm execution
    79147: 05/02/14: Antti Lukats: Re: Weird Mircroblaze programm execution
        79152: 05/02/15: Philipp: Re: Weird Mircroblaze programm execution
            79153: 05/02/15: Antti Lukats: Re: Weird Mircroblaze programm execution
                79159: 05/02/15: Philipp: Re: Weird Mircroblaze programm execution
                    79256: 05/02/16: newman5382: Re: Weird Mircroblaze programm execution
    79148: 05/02/15: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: Weird Mircroblaze programm execution
79111: 05/02/14: Jonathan Dumaresq: opencore under edk 6.3i
    79117: 05/02/14: Yong Zhu: Re: opencore under edk 6.3i
79114: 05/02/14: News: Strange clock problem with Synthesized netlist in Quartus
79118: 05/02/14: Paul Leventis: Updated Stratix II Power Specs & Explanation
    79122: 05/02/14: austin: Re: Updated Stratix II Power Specs & Explanation
        79131: 05/02/14: John_H: Re: Updated Stratix II Power Specs & Explanation
            79138: 05/02/14: austin: Re: Updated Stratix II Power Specs & Explanation
                79164: 05/02/15: Vaughn Betz: Re: Updated Stratix II Power Specs & Explanation
                79221: 05/02/15: John_H: Re: Updated Stratix II Power Specs & Explanation
                    79228: 05/02/15: Austin Lesea: Re: Updated Stratix II Power Specs & Explanation
                        79231: 05/02/15: glen herrmannsfeldt: Re: Updated Stratix II Power Specs & Explanation
                            79274: 05/02/16: Austin Lesea: Re: Updated Stratix II Power Specs & Explanation
                            79279: 05/02/16: Nicholas Weaver: Re: What do future FPGA's need? (was: Updated S2 Power specs)
                                79284: 05/02/17: Jim Granville: Re: What do future FPGA's need?
                            79290: 05/02/16: Brian Drummond: Re: What do future FPGA's need? (was: Updated S2 Power specs)
                        79245: 05/02/15: austin: Re: Updated Stratix II Power Specs & Explanation
                        79246: 05/02/15: austin: Re: Updated Stratix II Power Specs & Explanation
                        79251: 05/02/15: Vaughn Betz: Re: Updated Stratix II Power Specs & Explanation
                            79275: 05/02/16: Austin Lesea: Re: Updated Stratix II Power Specs & Explanation
                                79322: 05/02/17: Vaughn Betz: Re: Updated Stratix II Power Specs & Explanation
                                    79328: 05/02/17: Austin Lesea: Re: Updated Stratix II Power Specs & Explanation
                                        79339: 05/02/18: Jim Granville: Re: Updated Stratix II Power Specs & Explanation
                                            79379: 05/02/18: Vaughn Betz: Re: Updated Stratix II Power Specs & Explanation
                                                79401: 05/02/18: Austin Lesea: Re: Updated Stratix II Power Specs & Explanation
                                                    79444: 05/02/19: Paul Leventis (at home): Re: Updated Stratix II Power Specs & Explanation
                                                        79449: 05/02/19: Paul Leventis (at home): Re: Updated Stratix II Power Specs & Explanation
                                                        79469: 05/02/19: austin: Re: Updated Stratix II Power Specs & Explanation
                                        79378: 05/02/18: Vaughn Betz: Re: Updated Stratix II Power Specs & Explanation
                                            79402: 05/02/18: Austin Lesea: Re: Updated Stratix II Power Specs & Explanation
                                                79409: 05/02/18: Falk Brunner: Re: Updated Stratix II Power Specs & Explanation
            79142: 05/02/15: Bob: Re: Updated Stratix II Power Specs & Explanation
        79201: 05/02/15: Austin Lesea: Re: Updated Stratix II Power Specs & Explanation [And a Junction
    79177: 05/02/15: Paul Leventis: Re: Updated Stratix II Power Specs & Explanation [And a Junction Temperature Tutorial]
    79191: 05/02/15: Peter Alfke: Re: Updated Stratix II Power Specs & Explanation [And a Junction Temperature Tutorial]
    79207: 05/02/15: Paul Leventis: Re: Updated Stratix II Power Specs & Explanation [And a Junction Temperature Tutorial]
    79240: 05/02/15: Peter Alfke: Re: Updated Stratix II Power Specs & Explanation
    79241: 05/02/15: Paul Leventis: Re: Updated Stratix II Power Specs & Explanation
    79242: 05/02/15: Paul Leventis: Re: Updated Stratix II Power Specs & Explanation
    79249: 05/02/15: Paul Leventis: Re: Updated Stratix II Power Specs & Explanation
    79252: 05/02/15: Marc Randolph: What do future FPGA's need? (was: Updated S2 Power specs)
    79296: 05/02/16: Marc Randolph: Re: What do future FPGA's need? (was: Updated S2 Power specs)
    79297: 05/02/16: Marc Randolph: Re: What do future FPGA's need? (was: Updated S2 Power specs)
    79346: 05/02/17: Peter Alfke: Re: Updated Stratix II Power Specs & Explanation
    79448: 05/02/18: Peter Alfke: Re: Updated Stratix II Power Specs & Explanation
79134: 05/02/14: kaleo: Recommended Single Board FPGA manufacturer
79136: 05/02/15: Piotr Wyderski: Cyclone clock
    79139: 05/02/14: austin: Re: Cyclone clock
        79155: 05/02/15: Piotr Wyderski: Re: Cyclone clock
    79150: 05/02/15: Leon Heller: Re: Cyclone clock
        79154: 05/02/15: Piotr Wyderski: Re: Cyclone clock
            79169: 05/02/15: Leon Heller: Re: Cyclone clock
            79194: 05/02/15: Falk Brunner: Re: Cyclone clock
            79263: 05/02/16: Brian Drummond: Re: Cyclone clock
                79287: 05/02/16: Piotr Wyderski: Re: Cyclone clock
    79220: 05/02/15: John_H: Re: Cyclone clock
79161: 05/02/15: Alvaro: Question about Virtex II Pro - Partial Reconfiguration
79163: 05/02/15: Phil Tomson: ISE:ERROR:Xst:829: Constant Value expected for Generic 'U'?
    79247: 05/02/15: Neo: Re: ISE:ERROR:Xst:829: Constant Value expected for Generic 'U'?
    79257: 05/02/16: Paul Uiterlinden: Re: ISE:ERROR:Xst:829: Constant Value expected for Generic 'U'?
        79259: 05/02/16: Phil Tomson: Re: ISE:ERROR:Xst:829: Constant Value expected for Generic 'U'?
79165: 05/02/15: stockton: ATM Cell Payload Scrambler / Descrambler Process Explaination Required
    79167: 05/02/16: Allan Herriman: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination Required
    79170: 05/02/15: Huub van Helvoort: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination
        79176: 05/02/16: Allan Herriman: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination Required
            79188: 05/02/16: Allan Herriman: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination Required
            79195: 05/02/15: Huub van Helvoort: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination
    79171: 05/02/15: <autogenerate@hotmail.com>: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination Required
    79173: 05/02/15: stockton: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination Required
    79186: 05/02/15: simon.stockton@baesystems.com: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination Required
    79189: 05/02/15: <autogenerate@hotmail.com>: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination Required
    79260: 05/02/16: stockton: Re: ATM Cell Payload Scrambler / Descrambler Process Explaination Required
79166: 05/02/15: <whizkid777@gmail.com>: wireload model./custom wl creation
    79244: 05/02/15: Neo: Re: wireload model./custom wl creation
79172: 05/02/15: fpgawizz: Xilinx Spartan 3 kit - VHDL design question
    79178: 05/02/15: newman5382: Re: Xilinx Spartan 3 kit - VHDL design question
    79181: 05/02/15: Brad Smallridge: Re: Xilinx Spartan 3 kit - VHDL design question
        79192: 05/02/15: fpgawizz: Re: Xilinx Spartan 3 kit - VHDL design question
            79200: 05/02/15: Brad Smallridge: Re: Xilinx Spartan 3 kit - VHDL design question
            79261: 05/02/16: KCL: Re: Xilinx Spartan 3 kit - VHDL design question
                79268: 05/02/16: KCL: Re: Xilinx Spartan 3 kit - VHDL design question
                    79484: 05/02/19: fpgawizz: Re: Xilinx Spartan 3 kit - VHDL design question
                        79509: 05/02/20: KCL: Re: Xilinx Spartan 3 kit - VHDL design question
79174: 05/02/15: Kenneth Land: Any Altera FIFO not a power of 2?
    79182: 05/02/15: Rene Tschaggelar: Re: Any Altera FIFO not a power of 2?
        79314: 05/02/17: Kenneth Land: Re: Any Altera FIFO not a power of 2?
    79190: 05/02/15: Peter Sommerfeld: Re: Any Altera FIFO not a power of 2?
        79315: 05/02/17: Kenneth Land: Re: Any Altera FIFO not a power of 2?
    79202: 05/02/15: Gabor: Re: Any Altera FIFO not a power of 2?
    79317: 05/02/17: Gabor: Re: Any Altera FIFO not a power of 2?
79175: 05/02/15: Brad Smallridge: Xilinx Post Place and Route FIFO problems
    79179: 05/02/15: Brad Smallridge: Re: Xilinx Post Place and Route FIFO problems
        79193: 05/02/15: Brad Smallridge: Re: Xilinx Post Place and Route FIFO problems
    79185: 05/02/15: newman5382: Re: Xilinx Post Place and Route FIFO problems
        79197: 05/02/15: Brad Smallridge: Re: Xilinx Post Place and Route FIFO problems
            79198: 05/02/15: newman5382: Re: Xilinx Post Place and Route FIFO problems
                79205: 05/02/15: Falk Brunner: Re: Xilinx Post Place and Route FIFO problems
            79204: 05/02/15: Falk Brunner: Re: Xilinx Post Place and Route FIFO problems
                79219: 05/02/15: newman5382: Re: Xilinx Post Place and Route FIFO problems
    79187: 05/02/15: Peter Alfke: Re: Xilinx Post Place and Route FIFO problems
    79355: 05/02/17: Brad Smallridge: Re: Xilinx Post Place and Route FIFO problems
79203: 05/02/15: Marco: OPB IPIF HELP!!!
79209: 05/02/15: bob: SPI serial output counter or latch?
    79499: 05/02/20: Kolja Sulimma: Re: SPI serial output counter or latch?
79210: 05/02/15: brade@colmek.com: Avnet Spartan 3 Evaluation Board and PCI
    79289: 05/02/16: Brian Drummond: Re: Avnet Spartan 3 Evaluation Board and PCI
    79294: 05/02/16: brade@colmek.com: Re: Avnet Spartan 3 Evaluation Board and PCI
79229: 05/02/15: <zhangpei@gmail.com>: How to display synplify_pro version in tcl command
    79265: 05/02/16: Jim Wu: Re: How to display synplify_pro version in tcl command
79233: 05/02/15: John Rible: Questions about multiple rom instances in Quartus II
    79250: 05/02/16: Subroto Datta: Re: Questions about multiple rom instances in Quartus II
        79254: 05/02/15: John Rible: Re: Questions about multiple rom instances in Quartus II
            79283: 05/02/16: Subroto Datta: Re: Questions about multiple rom instances in Quartus II
                79299: 05/02/16: John Rible: Re: Questions about multiple rom instances in Quartus II
            79319: 05/02/17: Vaughn Betz: Re: Questions about multiple rom instances in Quartus II
79236: 05/02/15: Kevin Neilson: Protecting IP in China
    79269: 05/02/16: Rene Tschaggelar: Re: Protecting IP in China
    79453: 05/02/19: Ben Popoola: Re: Protecting IP in China
79238: 05/02/15: Jasmine Hau: How to use file input output function?
79243: 05/02/15: Pawi: .rbt file question
79255: 05/02/15: Etantonio: Electronics on ... www.etantonio.it
79258: 05/02/16: <mmkumar@gmail.com>: PLB
    79324: 05/02/17: Nju Njoroge: Re: PLB
    79354: 05/02/17: Nju Njoroge: Re: PLB
    79371: 05/02/17: <mmkumar@gmail.com>: Re: PLB
    79374: 05/02/17: Nju Njoroge: Re: PLB
79262: 05/02/16: Steve: Virtex4: On using a LC clock pin for global clock.
    79266: 05/02/16: Marc Randolph: Re: Virtex4: On using a LC clock pin for global clock.
79264: 05/02/16: Clemens Reinrich: FPGA programming newbie
    79306: 05/02/17: =?ISO-8859-1?Q?Johan_Bernsp=E5ng?=: Re: FPGA programming newbie
79271: 05/02/16: a0-0b: Xilinx RPM in Makefile?
    79307: 05/02/17: a0-0b: Re: Xilinx RPM in Makefile?
    79313: 05/02/17: Brian Drummond: Re: Xilinx RPM in Makefile?
    79330: 05/02/17: a0-0b: Re: Xilinx RPM in Makefile?
79276: 05/02/16: Bo: PPC405 sleep?
    79302: 05/02/17: Michael Lawnick: Re: PPC405 sleep?
        79316: 05/02/17: Bo: Re: PPC405 sleep?
            79318: 05/02/17: Michael Lawnick: Re: PPC405 sleep?
    79331: 05/02/17: Erik Widding: Re: PPC405 sleep?
        79590: 05/02/21: Bo: Re: PPC405 sleep?
            79591: 05/02/21: newman5382: Re: PPC405 sleep?
79278: 05/02/16: Yaju N: Efficient Voltage Regulators Spartan 3 Current Requirements
    79280: 05/02/16: Chris: Re: Efficient Voltage Regulators Spartan 3 Current Requirements
        79291: 05/02/16: Symon: Re: Efficient Voltage Regulators Spartan 3 Current Requirements
    79282: 05/02/16: Sylvain Munaut: Re: Efficient Voltage Regulators Spartan 3 Current Requirements
        79349: 05/02/17: Antonio Pasini: Re: Efficient Voltage Regulators Spartan 3 Current Requirements
            79715: 05/02/23: Antonio Pasini: Re: Efficient Voltage Regulators Spartan 3 Current Requirements
            79742: 05/02/23: rickman: Re: Efficient Voltage Regulators Spartan 3 Current Requirements
    79703: 05/02/23: Yaju N: Re: Efficient Voltage Regulators Spartan 3 Current Requirements
    79844: 05/02/24: Yaju N: Re: Efficient Voltage Regulators Spartan 3 Current Requirements
79286: 05/02/16: AugustoEinsfeldt: clock split approach for 270MHz design in Spartan2E
    79293: 05/02/16: Peter Alfke: Re: clock split approach for 270MHz design in Spartan2E
        79334: 05/02/17: Ray Andraka: Re: clock split approach for 270MHz design in Spartan2E
    79298: 05/02/16: Marc Randolph: Re: clock split approach for 270MHz design in Spartan2E
    79323: 05/02/17: AugustoEinsfeldt: Re: clock split approach for 270MHz design in Spartan2E
79292: 05/02/16: AL: DNL and INL calculation
    79295: 05/02/16: John_H: Re: DNL and INL calculation
    79359: 05/02/17: AL: Re: DNL and INL calculation
        79370: 05/02/18: Nitro: Re: DNL and INL calculation
    79413: 05/02/18: AL: Re: DNL and INL calculation
        79418: 05/02/19: Jim Granville: Re: DNL and INL calculation
    79425: 05/02/18: AL: Re: DNL and INL calculation
        79442: 05/02/19: Nitro: Re: DNL and INL calculation - Would Bit error rate be better?
    79490: 05/02/19: AL: Re: DNL and INL calculation
        79555: 05/02/21: Nitro: Re: DNL and INL calculation
    79563: 05/02/20: AL: Re: DNL and INL calculation
79301: 05/02/17: FAS3: VGA core
    79303: 05/02/17: Matthias Alles: Re: VGA core
    79329: 05/02/17: newman5382: Re: VGA core
    79345: 05/02/17: Peter Ryser: Re: VGA core
    79457: 05/02/19: <DerekSimmons@FrontierNet.net>: Re: VGA core
79304: 05/02/17: SD: binary constant divider theory
    79305: 05/02/17: renjith: Re: binary constant divider theory
    79310: 05/02/17: Andrea Sabatini: Re: binary constant divider theory
        79351: 05/02/17: Falk Brunner: Re: binary constant divider theory
            79408: 05/02/18: Falk Brunner: Re: binary constant divider theory
    79333: 05/02/17: Paul: Re: binary constant divider theory
    79337: 05/02/17: SD: Re: binary constant divider theory
    79338: 05/02/17: Paul: Re: binary constant divider theory
    79342: 05/02/17: SD: Re: binary constant divider theory
    79369: 05/02/17: SD: Re: binary constant divider theory
79308: 05/02/17: Jack: thread programming support in EDK?
    79327: 05/02/17: Jon Beniston: Re: thread programming support in EDK?
        79372: 05/02/18: John Williams: Re: thread programming support in EDK?
    79332: 05/02/17: Elinore: Re: thread programming support in EDK?
    79377: 05/02/17: Jack: Re: thread programming support in EDK?
79309: 05/02/17: Mouarf: 3.3V device programmable with 5V?
    79367: 05/02/17: vax, 9000: Re: 3.3V device programmable with 5V?
        79384: 05/02/18: Mouarf: Re: 3.3V device programmable with 5V?
            79422: 05/02/18: vax, 9000: Re: 3.3V device programmable with 5V?
79325: 05/02/17: cedric: Simple counter
    79326: 05/02/17: KCL: Re: Simple counter
    79335: 05/02/17: glen herrmannsfeldt: Re: Simple counter
79340: 05/02/17: news: IOBs in virtex4?
    79347: 05/02/17: Bret Wade: Re: IOBs in virtex4?
79341: 05/02/17: Nevin: FPGA Hardware/Cell Diagnostics
    79365: 05/02/17: Ray Andraka: Re: FPGA Hardware/Cell Diagnostics
    79368: 05/02/18: Bob Perlman: Re: FPGA Hardware/Cell Diagnostics
        79381: 05/02/18: Lars: Re: FPGA Hardware/Cell Diagnostics
79352: 05/02/17: tom: Confluence 0.10.3 Released
79356: 05/02/17: Brad Smallridge: ModelSim Timing Strategy
    79376: 05/02/18: newman5382: Re: ModelSim Timing Strategy
        79470: 05/02/19: Brad Smallridge: Re: ModelSim Timing Strategy
            79475: 05/02/19: newman5382: Re: ModelSim Timing Strategy
    79432: 05/02/18: Brad Smallridge: Re: ModelSim Timing Strategy
79357: 05/02/17: Nju Njoroge: PPC 405 in Virtex 2 Pro 30-Turning off "Critical-word first" loads
    79366: 05/02/17: Peter Ryser: Re: PPC 405 in Virtex 2 Pro 30-Turning off "Critical-word first"
    79415: 05/02/18: Nju Njoroge: Re: PPC 405 in Virtex 2 Pro 30-Turning off "Critical-word first"
79360: 05/02/17: AL: Make program stop
    79361: 05/02/17: glen herrmannsfeldt: Re: Make program stop
    79397: 05/02/18: AL: Re: Make program stop
        79399: 05/02/18: Alun Harford: Re: Make program stop
        79446: 05/02/19: jtw: Re: Make program stop
    79412: 05/02/18: Ann: Re: Make program stop
        79433: 05/02/18: Alun Harford: Re: Make program stop
    79491: 05/02/19: AL: Re: Make program stop
    79565: 05/02/20: AL: Re: Make program stop
        79644: 05/02/22: glen herrmannsfeldt: Re: Make program stop
    79650: 05/02/22: AL: Re: Make program stop
        79656: 05/02/22: glen herrmannsfeldt: Re: Make program stop
    79660: 05/02/22: AL: Re: Make program stop
    79661: 05/02/22: AL: Re: Make program stop
        79663: 05/02/22: AL: Re: Make program stop
    79662: 05/02/22: AL: Re: Make program stop
        79716: 05/02/23: glen herrmannsfeldt: Re: Make program stop
79362: 05/02/18: Roel: Virtex4: Usign OSERDES + LVDS Deserializers
    79363: 05/02/17: Peter Alfke: Re: Virtex4: Usign OSERDES + LVDS Deserializers
        79454: 05/02/19: Roel: Re: Virtex4: Usign OSERDES + LVDS Deserializers
            79465: 05/02/19: Roel: Re: Virtex4: Usign OSERDES + LVDS Deserializers
    79364: 05/02/17: Peter Alfke: Re: Virtex4: Usign OSERDES + LVDS Deserializers
79373: 05/02/18: John Williams: Printing in ChipScope
    79385: 05/02/18: =?ISO-8859-1?Q?Johan_Bernsp=E5ng?=: Re: Printing in ChipScope
        79557: 05/02/21: John Williams: Re: Printing in ChipScope
79375: 05/02/17: Jack: microblaze with opb, brams?
    79380: 05/02/18: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: microblaze with opb, brams?
79382: 05/02/18: kefir: CRC-4 algorithm using in G.704(&G.706)
    79383: 05/02/18: Allan Herriman: Re: CRC-4 algorithm using in G.704(&G.706)
        79386: 05/02/18: Michael Polovykh: Re: CRC-4 algorithm using in G.704(&G.706)
            79388: 05/02/18: Allan Herriman: Re: CRC-4 algorithm using in G.704(&G.706)
                79390: 05/02/18: Michael Polovykh: Re: CRC-4 algorithm using in G.704(&G.706)
                79410: 05/02/18: Falk Brunner: Re: CRC-4 algorithm using in G.704(&G.706)
        79387: 05/02/18: Michael Polovykh: Re: CRC-4 algorithm using in G.704(&G.706)
79389: 05/02/18: Moti: Question about microblaze C complier
    79400: 05/02/18: Jon Beniston: Re: Question about microblaze C complier
    79460: 05/02/19: Moti: Re: Question about microblaze C complier
        79547: 05/02/20: ramy: Re: Question about microblaze C complier
79391: 05/02/18: bob: Shift register example?
    79456: 05/02/19: KCL: Re: Shift register example?
        79497: 05/02/20: Christian Schneider: Re: Shift register example?
            79508: 05/02/20: KCL: Re: Shift register example?
                79511: 05/02/20: KCL: Re: Shift register example?
                79596: 05/02/21: Christian Schneider: Re: Shift register example?
                    79607: 05/02/22: Jeremy Stringer: Re: Shift register example?
                    79610: 05/02/21: KCL: Re: Shift register example?
    79600: 05/02/21: bob: Shift register example?
        79608: 05/02/21: KCL: Re: Shift register example?
79392: 05/02/18: Alessandro Strazzero: Is Altera Cyclone a good choice ?
    79394: 05/02/18: Kenneth Land: Re: Is Altera Cyclone a good choice ?
    79396: 05/02/18: Gary Pace: Re: Is Altera Cyclone a good choice ?
    79403: 05/02/18: Austin Lesea: Re: Is Altera Cyclone a good choice ?
    79496: 05/02/20: Alex Freed: Re: Is Altera Cyclone a good choice ?
        79579: 05/02/21: Petter Gustad: Re: Is Altera Cyclone a good choice ?
            79589: 05/02/21: Michael Polovykh: Re: Is Altera Cyclone a good choice ?
                79632: 05/02/22: Michael Polovykh: Re: Is Altera Cyclone a good choice ?
            80131: 05/03/02: Sander Vesik: Re: Is Altera Cyclone a good choice ?
        79580: 05/02/21: Michael Polovykh: Re: Is Altera Cyclone a good choice ?
        79595: 05/02/21: Petter Gustad: Re: Is Altera Cyclone a good choice ?
        80171: 05/03/02: Petter Gustad: Re: Is Altera Cyclone a good choice ?
    79562: 05/02/21: Simon Peacock: Re: Is Altera Cyclone a good choice ?
    79664: 05/02/22: <gregs@altera.com>: Re: Is Altera Cyclone a good choice ?
    79668: 05/02/22: Peter Alfke: Re: Is Altera Cyclone a good choice ?
    79669: 05/02/23: Jim Granville: Re: Is Altera Cyclone a good choice ?
79393: 05/02/18: TonyF: EDK, XST & inouts
    79923: 05/02/25: Paulo Dutra: Re: EDK, XST & inouts
79395: 05/02/18: Petter Gustad: RocketIO swift simulation under VCS
    80235: 05/03/02: Petter Gustad: Re: RocketIO swift simulation under VCS
79405: 05/02/18: Stef: Using c++ with xilinx EDK tools
79406: 05/02/18: Stephen Williams: Xilinx: Pitfalls of chaining DLLs
    79411: 05/02/18: Falk Brunner: Re: Xilinx: Pitfalls of chaining DLLs
        79417: 05/02/18: John_H: Re: Xilinx: Pitfalls of chaining DLLs
            79421: 05/02/18: Bob: Re: Xilinx: Pitfalls of chaining DLLs
                79434: 05/02/19: John_H: Re: Xilinx: Pitfalls of chaining DLLs
                    79438: 05/02/19: Bob: Re: Xilinx: Pitfalls of chaining DLLs
                    79643: 05/02/22: Kolja Sulimma: Re: Xilinx: Pitfalls of chaining DLLs
                        79649: 05/02/22: Austin Lesea: Re: Xilinx: Pitfalls of chaining DLLs
    79416: 05/02/18: Austin Lesea: Re: Xilinx: Pitfalls of chaining DLLs
    79423: 05/02/18: Vladislav Muravin: Re: Xilinx: Pitfalls of chaining DLLs
        79426: 05/02/18: Stephen Williams: Re: Xilinx: Pitfalls of chaining DLLs
    79647: 05/02/22: Brian Davis: Re: Xilinx: Pitfalls of chaining DLLs
79407: 05/02/18: Joerg Ritter: edk and xilinx multimedia board
79419: 05/02/18: IgI: Issues with a batch of Virtex-II chips
    79420: 05/02/18: Vladislav Muravin: Re: Issues with a batch of Virtex-II chips
        79445: 05/02/19: IgI: Re: Issues with a batch of Virtex-II chips
    79424: 05/02/18: Austin Lesea: Re: Issues with a batch of Virtex-II chips
        79447: 05/02/19: IgI: Re: Issues with a batch of Virtex-II chips
            79467: 05/02/19: austin: Re: Issues with a batch of Virtex-II chips
    79501: 05/02/20: Brian Drummond: Re: Issues with a batch of Virtex-II chips
        79593: 05/02/21: IgI: Re: Issues with a batch of Virtex-II chips
            79623: 05/02/22: Brian Drummond: Re: Issues with a batch of Virtex-II chips
                79637: 05/02/22: Austin Lesea: Re: Issues with a batch of Virtex-II chips
79427: 05/02/18: Jedi: Altera support getting worse and worse......
    79428: 05/02/18: Kenneth Land: Re: Altera support getting worse and worse......
79429: 05/02/18: Ouadid: Any suggestion for an IP project
    79471: 05/02/19: Antti Lukats: Re: Any suggestion for an IP project
79430: 05/02/18: Jedi: nios2 flash programmer
    79436: 05/02/18: <kempaj@yahoo.com>: Re: nios2 flash programmer
79431: 05/02/18: sam: synthesizable vhdl coding style
    79435: 05/02/19: Dave Vanden Bout: Re: synthesizable vhdl coding style
    79440: 05/02/18: sam: Re: synthesizable vhdl coding style
79437: 05/02/19: Piotr Wyderski: Nios performance
    79439: 05/02/18: Kenneth Land: Re: Nios performance
        79441: 05/02/19: Piotr Wyderski: Re: Nios performance
            79443: 05/02/18: Kenneth Land: Re: Nios performance
                79468: 05/02/19: Piotr Wyderski: Re: Nios performance
    79451: 05/02/19: Thomas Entner: Re: Nios performance
    79459: 05/02/19: Thomas Entner: Re: Nios performance
        79567: 05/02/21: Antti Lukats: Re: Nios performance
            79830: 05/02/24: Thomas Entner: Re: Nios performance
                79838: 05/02/25: Jim Granville: Re: Nios performance
79450: 05/02/19: Marco: Graphic LCD
    79452: 05/02/19: Jedi: Re: Graphic LCD
        79477: 05/02/19: Marco: Re: Graphic LCD
    79493: 05/02/20: Marco: Re: Graphic LCD
        79514: 05/02/20: KCL: Re: Graphic LCD
    79526: 05/02/20: Marco: Re: Graphic LCD
        79532: 05/02/20: KCL: Re: Graphic LCD
    79733: 05/02/23: KCL: Re: Graphic LCD
79455: 05/02/19: Jack: distributed shared memory in fpga?
79458: 05/02/19: KCL: having EDK and microblaze
79461: 05/02/19: Matt: EMC and Shared SRAM/FLASH Bus
    79463: 05/02/19: Antti Lukats: Re: EMC and Shared SRAM/FLASH Bus
        79473: 05/02/19: newman5382: Re: EMC and Shared SRAM/FLASH Bus
    79466: 05/02/19: Matt: Re: EMC and Shared SRAM/FLASH Bus
79462: 05/02/19: Antti Lukats: Antti Lukats: all my past live projects to be published...
    79464: 05/02/19: newman5382: Re: Antti Lukats: all my past live projects to be published...
    79498: 05/02/20: TonyF: Re: Antti Lukats: all my past live projects to be published...
        79502: 05/02/20: Falk Brunner: Re: Antti Lukats: all my past live projects to be published...
            79504: 05/02/20: TonyF: Re: Antti Lukats: all my past live projects to be published...
                79524: 05/02/20: Falk Brunner: Re: Antti Lukats: all my past live projects to be published...
                    79543: 05/02/20: newman5382: Re: Antti Lukats: all my past live projects to be published...
                        79544: 05/02/20: Falk Brunner: Re: Antti Lukats: all my past live projects to be published...
            79568: 05/02/21: Antti Lukats: Re: Antti Lukats: all my past live projects to be published...
                79601: 05/02/21: Antti Lukats: Re: Antti Lukats: all my past live projects to be published...
        79503: 05/02/20: newman5382: Re: Antti Lukats: all my past live projects to be published...
            79505: 05/02/20: TonyF: Re: Antti Lukats: all my past live projects to be published...
                79507: 05/02/20: newman5382: Re: Antti Lukats: all my past live projects to be published...
                    79512: 05/02/20: TonyF: Re: Antti Lukats: all my past live projects to be published...
                    79513: 05/02/20: newman5382: Re: Antti Lukats: all my past live projects to be published...
                        79566: 05/02/21: Antti Lukats: Re: Antti Lukats: all my past live projects to be published...
            79584: 05/02/21: Kim Enkovaara: Re: Antti Lukats: all my past live projects to be published...
            79586: 05/02/21: newman5382: Re: Antti Lukats: all my past live projects to be published...
        79571: 05/02/21: Kim Enkovaara: Re: Antti Lukats: all my past live projects to be published...
    79581: 05/02/21: Marc Randolph: Re: Antti Lukats: all my past live projects to be published...
    79599: 05/02/21: Erik Widding: Re: Antti Lukats: all my past live projects to be published...
    79635: 05/02/22: Erik Widding: Re: Antti Lukats: all my past live projects to be published...
79472: 05/02/19: <bobrics@gmail.com>: why to use FIFO on FPGA?
    79474: 05/02/19: Jezwold: Re: why to use FIFO on FPGA?
    79476: 05/02/19: austin: Re: why to use FIFO on FPGA?
        79478: 05/02/19: Kolja Sulimma: Re: why to use FIFO on FPGA?
            79483: 05/02/19: Quiet Desperation: Re: why to use FIFO on FPGA?
        79480: 05/02/20: Piotr Wyderski: Re: why to use FIFO on FPGA?
            79481: 05/02/19: austin: Re: why to use FIFO on FPGA?
    79479: 05/02/19: Peter Alfke: Re: why to use FIFO on FPGA?
    79482: 05/02/19: Quiet Desperation: Re: why to use FIFO on FPGA?
79485: 05/02/19: Tom: hdl:lament
    79486: 05/02/20: Allan Herriman: Re: hdl:lament
    79489: 05/02/19: <DerekSimmons@FrontierNet.net>: Re: hdl:lament
        79506: 05/02/20: tom: Re: hdl:lament
            79570: 05/02/21: Brian Drummond: Re: hdl:lament
    79510: 05/02/20: austin: Re: hdl:lament
        79518: 05/02/20: tom: Re: hdl:lament
            79522: 05/02/20: austin: Re: hdl:lament
            79531: 05/02/21: Jim Granville: Re: hdl:lament
    79516: 05/02/20: Phil Hays: Re: hdl:lament
        79520: 05/02/20: tom: Re: hdl:lament
    79569: 05/02/21: tom: Re: hdl:lament
        79645: 05/02/22: Kolja Sulimma: Re: hdl:lament
    79636: 05/02/22: John_H: Re: hdl:lament
79487: 05/02/19: fpgawizz: VHDL State Machine - Literature
    79523: 05/02/20: Eric Crabill: Re: VHDL State Machine - Literature
79488: 05/02/19: jack lalo: Help using the ML310 developement board
79492: 05/02/19: Zerang Shah: Re: Make program stop
79494: 05/02/20: vytla: beginner: running linux on xilinx ml310
    79573: 05/02/21: vytla: Re: beginner: running linux on xilinx ml310
79495: 05/02/20: Michel Billaud: why are PCI-based FPGA cards so expensive ?
    79500: 05/02/20: Brian Drummond: Re: why are PCI-based FPGA cards so expensive ?
        79515: 05/02/20: Quiet Desperation: Re: why are PCI-based FPGA cards so expensive ?
            79535: 05/02/20: Hal Murray: Re: why are PCI-based FPGA cards so expensive ?
    79517: 05/02/20: <DerekSimmons@FrontierNet.net>: Re: why are PCI-based FPGA cards so expensive ?
    79519: 05/02/20: Rene Tschaggelar: Re: why are PCI-based FPGA cards so expensive ?
        79537: 05/02/20: Stephen Williams: Re: why are PCI-based FPGA cards so expensive ?
            79538: 05/02/21: Jeremy Stringer: Re: why are PCI-based FPGA cards so expensive ?
                79550: 05/02/20: Stephen Williams: Re: why are PCI-based FPGA cards so expensive ?
            79540: 05/02/20: Rene Tschaggelar: Re: why are PCI-based FPGA cards so expensive ?
    79542: 05/02/20: Jake Janovetz: Re: why are PCI-based FPGA cards so expensive ?
79521: 05/02/20: Steve: Xilinx Memory Interface Generator
79525: 05/02/20: <jaxato@gmail.com>: difficult to build counter, some help please : (
    79527: 05/02/20: Falk Brunner: Re: difficult to build counter, some help please : (
    79534: 05/02/20: Peter Alfke: Re: difficult to build counter, some help please : (
        79545: 05/02/21: Falk Brunner: Re: difficult to build counter, some help please : (
            79553: 05/02/21: Jim Granville: Re: difficult to build counter, some help please : (
                80026: 05/02/28: Falk Brunner: Re: difficult to build counter, some help please : (
                    80033: 05/02/28: Falk Brunner: Re: difficult to build counter, some help please : (
    79536: 05/02/20: <jaxato@gmail.com>: Re: difficult to build counter, some help please : (
    79549: 05/02/20: Peter Alfke: Re: difficult to build counter, some help please : (
    79554: 05/02/20: Peter Alfke: Re: difficult to build counter, some help please : (
    80022: 05/02/28: <jaxato@gmail.com>: Re: difficult to build counter, some help please : (
    80029: 05/02/28: <jaxato@gmail.com>: Re: difficult to build counter, some help please : (
79528: 05/02/20: ec: BACK to FPGA
    79529: 05/02/20: ZAK: Re: BACK to FPGA
        79558: 05/02/21: ec: Re: BACK to FPGA
    79588: 05/02/21: Moti: Re: BACK to FPGA
    79614: 05/02/22: Madhu: Re: BACK to FPGA
        79617: 05/02/22: newman5382: Re: BACK to FPGA
            79625: 05/02/22: KCL: Re: BACK to FPGA
    79616: 05/02/21: <akuchlous@gmail.com>: Re: BACK to FPGA
    79618: 05/02/21: <akuchlous@gmail.com>: Re: BACK to FPGA
79530: 05/02/20: Marc Randolph: Re: hdl:lament
79533: 05/02/20: Martin Schoeberl: JOP VHDL simulation
    79606: 05/02/21: Martin Schoeberl: Re: JOP VHDL simulation
79539: 05/02/20: Matt: SRAM & Flash Address Bus w/EMC
79546: 05/02/20: ramy: does anyone have a c compiler for the picoblaze
    79548: 05/02/21: Martin Riddle: Re: does anyone have a c compiler for the picoblaze
        79561: 05/02/21: Simon Peacock: Re: does anyone have a c compiler for the picoblaze
            79577: 05/02/21: Hal Murray: Re: does anyone have a c compiler for the picoblaze
    79585: 05/02/21: Gabor: Re: does anyone have a c compiler for the picoblaze
79551: 05/02/20: vadim: DSP56651/DSP56670 - Motorola RAM-based emulation devices
79552: 05/02/21: M.Randelzhofer: WYSIWYG option in xilinx webpack 6.3
    79564: 05/02/20: Jezwold: Re: WYSIWYG option in xilinx webpack 6.3
        79587: 05/02/21: M.Randelzhofer: Re: WYSIWYG option in xilinx webpack 6.3
    79613: 05/02/21: Newman: Re: WYSIWYG option in xilinx webpack 6.3
79556: 05/02/20: FAS3: downloading program to external ram
79559: 05/02/21: fpgawizz: Sending information between VHDL modules from the top level module
    79592: 05/02/21: Falk Brunner: Re: Sending information between VHDL modules from the top level module
79560: 05/02/21: vasudev: EDK6.3i Memory conflict.....
    79921: 05/02/25: Paulo Dutra: Re: EDK6.3i Memory conflict.....
79574: 05/02/21: Antti Lukats: Reconfigure your dreams: fully reconfigurable computer in DIP40 !
    79575: 05/02/21: Martin Schoeberl: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40 !
        79576: 05/02/21: Antti Lukats: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40 !
        79597: 05/02/22: Jim Granville: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40
            79598: 05/02/21: Antti Lukats: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40 !
                79609: 05/02/21: Martin Schoeberl: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40 !
    79602: 05/02/22: Jim Granville: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40
    79603: 05/02/22: Jim Granville: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40
        79615: 05/02/22: Antti Lukats: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40 !
    79611: 05/02/21: Eric Smith: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40 !
    79630: 05/02/22: Marius Vollmer: Re: Reconfigure your dreams: fully reconfigurable computer in DIP40
79578: 05/02/21: <digari@dacafe.com>: Hardcopy Vs ASIC
    79652: 05/02/22: Paul Hollingworth: Re: Hardcopy Vs ASIC
        79655: 05/02/22: Austin Lesea: Re: Hardcopy Vs ASIC
            79666: 05/02/22: glen herrmannsfeldt: Re: Hardcopy Vs ASIC
                79704: 05/02/23: Austin Lesea: Re: Hardcopy Vs ASIC
                    79721: 05/02/23: Falk Brunner: Re: Hardcopy Vs ASIC
                        79730: 05/02/24: Jim Granville: Re: Hardcopy Vs ASIC
                            79749: 05/02/23: Austin Lesea: Re: Hardcopy Vs ASIC
                        79748: 05/02/23: Austin Lesea: Re: Hardcopy Vs ASIC
                80209: 05/03/02: Ulf Samuelsson: Re: Hardcopy Vs ASIC
            79706: 05/02/23: Falk Brunner: Re: Hardcopy Vs ASIC
                79789: 05/02/24: Kim Enkovaara: Re: Hardcopy Vs ASIC
                80212: 05/03/02: Ulf Samuelsson: Re: Hardcopy Vs ASIC
        79790: 05/02/24: Petter Gustad: Re: Hardcopy Vs ASIC
    79659: 05/02/22: Peter Alfke: Re: Hardcopy Vs ASIC
    79673: 05/02/22: <digari@dacafe.com>: Re: Hardcopy Vs ASIC
    79724: 05/02/23: Paul Hollingworth: Re: Hardcopy Vs ASIC
    79751: 05/02/23: Peter Alfke: Re: Hardcopy Vs ASIC
    79761: 05/02/23: statepenn99: Re: Hardcopy Vs ASIC
    79783: 05/02/24: <digari@dacafe.com>: Re: Hardcopy Vs ASIC
    79834: 05/02/24: statepenn99: Re: Hardcopy Vs ASIC
79582: 05/02/21: GL: cyclone's pll
    79594: 05/02/21: Gary Pace: Re: cyclone's pll
        80748: 05/03/10: Paul Leventis (at home): Re: cyclone's pll
    80690: 05/03/10: cxg: re:cyclone's pll
79604: 05/02/22: Jeremy Stringer: Jitter and Static Timing Analysis
    79605: 05/02/21: Gabor: Re: Jitter and Static Timing Analysis
        79612: 05/02/22: Jeremy Stringer: Re: Jitter and Static Timing Analysis
79619: 05/02/22: SD: Exporting Modelsim Values?????
    79626: 05/02/22: newman5382: Re: Exporting Modelsim Values?????
    80284: 05/03/03: Jonathan Bromley: Re: Exporting Modelsim Values?????
79620: 05/02/22: A Beaujean: Spartan3 Power Supply Circuits
    79651: 05/02/22: Antonio Pasini: Re: Spartan3 Power Supply Circuits
        79674: 05/02/22: A Beaujean: Re: Spartan3 Power Supply Circuits
79621: 05/02/22: Ali Dixon: virtex II register file
    79631: 05/02/22: Peter Alfke: Re: virtex II register file
    79634: 05/02/22: John_H: Re: virtex II register file
    79638: 05/02/22: Vladislav Muravin: Re: virtex II register file
79622: 05/02/22: <stud_lang_jap@yahoo.com>: USB 1.1 core
    79627: 05/02/22: Jonathan Dumaresq: Re: USB 1.1 core
    79670: 05/02/23: Rudolf Usselmann: Re: USB 1.1 core
79624: 05/02/22: =?ISO-8859-1?Q?Andr=E9s?=: Pin Declaration in new EC/ECP FPGAs
    79848: 05/02/25: Ben Popoola: Re: Pin Declaration in new EC/ECP FPGAs
79629: 05/02/22: =?ISO-8859-1?Q?Andr=E9s?=: Tristate Discussion
    79641: 05/02/22: Martin Schoeberl: Re: Tristate Discussion
        79690: 05/02/23: =?ISO-8859-1?Q?Andr=E9s?=: Re: Tristate Discussion
            79707: 05/02/23: Martin Schoeberl: Re: Tristate Discussion
            79713: 05/02/23: glen herrmannsfeldt: Re: Tristate Discussion
    79642: 05/02/22: glen herrmannsfeldt: Re: Tristate Discussion
79633: 05/02/22: Phil Tomson: accessing external RAM on Spartan3 starter board
79639: 05/02/22: Martin Schoeberl: SD Card and FPGA
    79646: 05/02/23: Jim Granville: Re: SD Card and FPGA
        79665: 05/02/22: Martin Schoeberl: Re: SD Card and FPGA
    79675: 05/02/23: Antti Lukats: Re: SD Card and FPGA
    79708: 05/02/23: Wolfgang Loewer: Re: SD Card and FPGA
        79709: 05/02/23: Antti Lukats: Re: SD Card and FPGA
            79712: 05/02/23: Pete Fraser: Re: SD Card and FPGA
                79714: 05/02/23: Antti Lukats: Re: SD Card and FPGA
79640: 05/02/22: paulojfonseca: XilKernel Problem on Spartan3 Board
    79658: 05/02/22: Vasanth Asokan: Re: XilKernel Problem on Spartan3 Board
79648: 05/02/22: KCL: Frequence max: many question from a beginner
    79653: 05/02/22: Falk Brunner: Re: Frequence max: many question from a beginner
        79736: 05/02/23: KCL: Re: Frequence max: many question from a beginner
            79737: 05/02/23: Falk Brunner: Re: Frequence max: many question from a beginner
79654: 05/02/22: jack lalo: Is there any compatibility difference between The parallel JTAG PC4 and JTAG III??
    79705: 05/02/23: Falk Brunner: Re: Is there any compatibility difference between The parallel JTAG PC4 and JTAG III??
79657: 05/02/22: Christof: FPGA board with best cost/CLB ratio?
    79667: 05/02/22: Martin Schoeberl: Re: FPGA board with best cost/CLB ratio?
    79671: 05/02/22: Marc Randolph: Re: FPGA board with best cost/CLB ratio?
    79672: 05/02/23: John Williams: Re: FPGA board with best cost/CLB ratio?
    79814: 05/02/24: DAVID BINNIE: Re: FPGA board with best cost/CLB ratio?
79676: 05/02/23: pdq: re:Debugging error in VHDL
79677: 05/02/23: akshye: Debugging error in VHDL
79678: 05/02/23: pepeprisas: reading from CF
79682: 05/02/23: Joelmir Jose Lopes: Virtex-4 FPGA with Jbits3.0?
79687: 05/02/23: digi: interrupt handler problem
    79723: 05/02/23: Sewook Wee: Re: interrupt handler problem
    79850: 05/02/25: digi: re:interrupt handler problem
79689: 05/02/23: sergio.tota: Problems with a 4-MicroBlaze Multiprocessor Architecture
    79691: 05/02/23: =?ISO-8859-15?Q?G=F6ran_Bilski?=: Re: Problems with a 4-MicroBlaze Multiprocessor Architecture
    79932: 05/02/26: sergio.tota: re:Problems with a 4-MicroBlaze Multiprocessor Architecture
        80001: 05/02/28: =?ISO-8859-15?Q?G=F6ran_Bilski?=: Re: Problems with a 4-MicroBlaze Multiprocessor Architecture
    80339: 05/03/04: sergio.tota: re:Problems with a 4-MicroBlaze Multiprocessor Architecture
79692: 05/02/23: =?ISO-8859-1?Q?Andr=E9s?=: Quartus DESIGN ASSISTANT tool
    79727: 05/02/23: Vladislav Muravin: Re: Quartus DESIGN ASSISTANT tool
        79773: 05/02/24: =?ISO-8859-1?Q?Andr=E9s?=: Re: Quartus DESIGN ASSISTANT tool
            79878: 05/02/25: Vladislav Muravin: Re: Quartus DESIGN ASSISTANT tool
79693: 05/02/23: changewhere: what's the difference between syn FIFO and asyn FIFO?
    79701: 05/02/23: statepenn99: Re: what's the difference between syn FIFO and asyn FIFO?
79694: 05/02/23: Mathias Schmalisch: XST: How to select the architecture for synthesis?
    79696: 05/02/23: Tuukka Toivonen: Re: XST: How to select the architecture for synthesis?
    79697: 05/02/23: Ansgar Bambynek: Re: How to select the architecture for synthesis?
    79720: 05/02/23: Andrea: Re: XST: How to select the architecture for synthesis?
79695: 05/02/23: Nils Koehler: Altera JTAG Jam STAPL player portet to Linux for Byteblaster?
79698: 05/02/23: Pablo Bleyer Kocik: Spartan-3 partial reconfiguration trouble
    79710: 05/02/23: Antti Lukats: Re: Spartan-3 partial reconfiguration trouble
        79711: 05/02/23: Antti Lukats: Re: Spartan-3 partial reconfiguration trouble
        79722: 05/02/23: Falk Brunner: Re: Spartan-3 partial reconfiguration trouble
        79744: 05/02/23: rickman: Re: Spartan-3 partial reconfiguration trouble
            79760: 05/02/23: rickman: Re: Spartan-3 partial reconfiguration trouble
    79725: 05/02/23: Pablo Bleyer Kocik: Re: Spartan-3 partial reconfiguration trouble
    79728: 05/02/23: Pablo Bleyer Kocik: Re: Spartan-3 partial reconfiguration trouble
    79754: 05/02/23: Pablo Bleyer Kocik: Re: Spartan-3 partial reconfiguration trouble
    79791: 05/02/24: Pablo Bleyer Kocik: Re: Spartan-3 partial reconfiguration trouble
79699: 05/02/23: santhosh: FPGA : file generation
79700: 05/02/23: statepenn99: Frustrated with Altera
    79702: 05/02/23: newman5382: Re: Frustrated with Altera
    79734: 05/02/23: <DerekSimmons@FrontierNet.net>: Re: Frustrated with Altera
        79739: 05/02/23: Antti Lukats: Re: Frustrated with Altera
    79735: 05/02/23: statepenn99: Re: Frustrated with Altera
    79747: 05/02/23: <DerekSimmons@FrontierNet.net>: Re: Frustrated with Altera
    79758: 05/02/23: statepenn99: Re: Frustrated with Altera
79717: 05/02/23: Austin Lesea: Signal Integrity break-through: V4 packaging
    79745: 05/02/23: Symon: Re: Signal Integrity break-through: V4 packaging
        79750: 05/02/23: Austin Lesea: Re: Signal Integrity break-through: V4 packaging
            79753: 05/02/23: Symon: Re: Signal Integrity break-through: V4 packaging
                79803: 05/02/24: Austin Lesea: Re: Signal Integrity break-through: V4 packaging
79718: 05/02/23: Austin Lesea: The real performance leader: V4
    79726: 05/02/23: mk: Re: The real performance leader: V4
        79738: 05/02/23: Falk Brunner: Re: The real performance leader: V4
        79741: 05/02/23: KCL: Re: The real performance leader: V4
        79752: 05/02/23: Austin Lesea: Re: The real performance leader: V4
            79802: 05/02/24: Austin Lesea: Re: The real performance leader: V4
        79762: 05/02/24: Rudolf Usselmann: Re: The real performance leader: V4
            79808: 05/02/24: John_H: Re: The real performance leader: V4
                79810: 05/02/24: Austin Lesea: Re: The real performance leader: V4
    79732: 05/02/23: Pablo Bleyer Kocik: Re: The real performance leader: V4
    79756: 05/02/23: Kevin Brown: Re: The real performance leader: V4
    79764: 05/02/23: Peter Alfke: Re: The real performance leader: V4
    79792: 05/02/24: Pablo Bleyer Kocik: Re: The real performance leader: V4
79719: 05/02/23: Kevin Neilson: Constant Functions in Modelsim
79729: 05/02/23: Antti Lukats: embedded 2005 in Nuernburg
    79755: 05/02/24: Tim: Re: embedded 2005 in Nuernburg
        79767: 05/02/24: Antti Lukats: Re: embedded 2005 in Nuernburg
    79905: 05/02/25: Kenneth Land: Re: embedded 2005 in Nuernburg
        79916: 05/02/25: Ben Twijnstra: Re: embedded 2005 in Nuernburg
        79929: 05/02/26: Antti Lukats: Re: embedded 2005 in Nuernburg
79731: 05/02/23: KCL: generic
    79852: 05/02/25: pdq: re:generic
79740: 05/02/23: spartan: "DSP Dev kit stratix II edition" Vs "ML401 Evaluation platform"
79743: 05/02/23: <governer@gmail.com>: cheapest CPLD
    79746: 05/02/23: rickman: Re: cheapest CPLD
    79820: 05/02/25: Jim Granville: Re: cheapest CPLD
    79839: 05/02/24: <governer@gmail.com>: Re: cheapest CPLD
79757: 05/02/23: Weddick: Memory Controller Operation
    79759: 05/02/23: statepenn99: Re: Memory Controller Operation
79763: 05/02/24: willie CHEN: How to synthesize the xilinx ip core?
    79768: 05/02/24: Moti: Re: How to synthesize the xilinx ip core?
    79770: 05/02/24: Jan Bruns: Re: How to synthesize the xilinx ip core?
    79788: 05/02/24: Jim Wu: Re: How to synthesize the xilinx ip core?
    79847: 05/02/25: willie CHEN: Re: How to synthesize the xilinx ip core?
79765: 05/02/24: Jan Bruns: routing delays (Xilinx)
    79906: 05/02/25: Jan Bruns: Re: routing delays (Xilinx)
79766: 05/02/23: Rob Barris: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
    79772: 05/02/24: Jezwold: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
    79775: 05/02/24: mk: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
    79776: 05/02/24: Allan Herriman: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
        79976: 05/02/27: Dr Justice: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
            79980: 05/02/27: Dr Justice: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
            79981: 05/02/28: Dr Justice: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
                79983: 05/02/28: Jeremy Stringer: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx
                    79987: 05/02/28: nospam: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
                    80517: 05/03/08: Jeremy Stringer: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx
            79982: 05/02/28: nospam: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
                79986: 05/02/28: Dr Justice: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
                    80063: 05/02/28: Rob Barris: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
    79777: 05/02/24: Hal Murray: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
    79807: 05/02/24: Falk Brunner: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
    79978: 05/02/27: Peter Alfke: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
    79989: 05/02/27: Peter Alfke: Re: Looking for some rules of thumb - migrating a discrete 74HCxxx design into an FPGA
79771: 05/02/24: Backman: FSL : only reads 16 times
    79774: 05/02/24: =?windows-1252?Q?G=F6ran_Bilski?=: Re: FSL : only reads 16 times
79778: 05/02/24: blackduck: Multiple additions
    79780: 05/02/24: mk: Re: Multiple additions
        79787: 05/02/24: KCL: Re: Multiple additions
        79811: 05/02/24: glen herrmannsfeldt: Re: Multiple additions
            79816: 05/02/24: Falk Brunner: Re: Multiple additions
                79817: 05/02/24: Alun Harford: Re: Multiple additions
        80134: 05/03/02: Sander Vesik: Re: Multiple additions
    79784: 05/02/24: blackduck: Re: Multiple additions
    80133: 05/03/02: Sander Vesik: Re: Multiple additions
79779: 05/02/24: blackduck: Multiple addition(2)
    79781: 05/02/24: Jochen: Re: Multiple addition(2)
        79786: 05/02/24: KCL: Re: Multiple addition(2)
            79794: 05/02/24: Stephen Maudsley: Re: Multiple addition(2)
                79815: 05/02/24: Falk Brunner: Re: Multiple addition(2)
                    79818: 05/02/24: glen herrmannsfeldt: Re: Multiple addition(2)
            79831: 05/02/24: KCL: Re: Multiple addition(2)
            80135: 05/03/02: Sander Vesik: Re: Multiple addition(2)
    79785: 05/02/24: blackduck: Re: Multiple addition(2)
    79795: 05/02/24: blackduck: Re: Multiple addition(2)
79782: 05/02/24: Andrew Greensted: Adjustment for FPGA-FAQ 0044
    79869: 05/02/25: Hein Roehrig: Re: Adjustment for FPGA-FAQ 0044
79796: 05/02/24: Preben Holm: Prescalable counter
    79798: 05/02/24: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: Prescalable counter
        79964: 05/02/27: Preben Holm: Re: Prescalable counter
            79997: 05/02/28: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: Prescalable counter
    79801: 05/02/24: Peter Alfke: Re: Prescalable counter
    79876: 05/02/25: Vladislav Muravin: Re: Prescalable counter
        79963: 05/02/27: Preben Holm: Re: Prescalable counter
            79977: 05/02/27: Preben Holm: Re: Prescalable counter
                79985: 05/02/28: Jim Granville: Re: Prescalable counter
                    80005: 05/02/28: Preben Holm: Re: Prescalable counter
                        80028: 05/03/01: Jim Granville: Re: Prescalable counter
                80006: 05/02/28: Preben Holm: Re: Prescalable counter
                    80024: 05/02/28: Preben Holm: Re: Prescalable counter
    79974: 05/02/27: Peter Alfke: Re: Prescalable counter
    79979: 05/02/27: Peter Alfke: Re: Prescalable counter
    80016: 05/02/28: Peter Alfke: Re: Prescalable counter
79797: 05/02/24: morpheus: Synchronous design
    79806: 05/02/24: Mike Treseler: Re: Synchronous design
79799: 05/02/24: Paul Hartke: Implementing Multi-Processor Systems
    79837: 05/02/24: <DerekSimmons@FrontierNet.net>: Re: Implementing Multi-Processor Systems in FPGAs
        79955: 05/02/27: Ziggy: Re: Implementing Multi-Processor Systems in FPGAs
            79972: 05/02/27: Ziggy: Re: Implementing Multi-Processor Systems in FPGAs
        79998: 05/02/28: =?ISO-8859-1?Q?G=F6ran_Bilski?=: Re: Implementing Multi-Processor Systems in FPGAs
    79845: 05/02/24: JJ: Re: Implementing Multi-Processor Systems in FPGAs
    79895: 05/02/25: <DerekSimmons@FrontierNet.net>: Re: Implementing Multi-Processor Systems in FPGAs
    79909: 05/02/25: JJ: Re: Implementing Multi-Processor Systems in FPGAs
    79958: 05/02/26: JJ: Re: Implementing Multi-Processor Systems in FPGAs
    80338: 05/03/04: Steven_Guccione: re:Implementing Multi-Processor Systems in FPGAs
79809: 05/02/24: Jonathan Dumaresq: edk, chipscope_icon and chipscope_ila
    79812: 05/02/24: newman: Re: edk, chipscope_icon and chipscope_ila
        79825: 05/02/24: Jonathan Dumaresq: Re: edk, chipscope_icon and chipscope_ila
79819: 05/02/24: spartan: NiosII Vs MicroBlaze
    79822: 05/02/24: Partha Biswas: Re: NiosII Vs MicroBlaze
        79858: 05/02/25: David: Re: NiosII Vs MicroBlaze
    79859: 05/02/25: Petter Gustad: Re: NiosII Vs MicroBlaze
        79864: 05/02/25: Antti Lukats: Re: NiosII Vs MicroBlaze
            79939: 05/02/26: Kenneth Land: Re: NiosII Vs MicroBlaze
    79860: 05/02/25: Jon Beniston: Re: NiosII Vs MicroBlaze
        79862: 05/02/25: newman: Re: NiosII Vs MicroBlaze
            79884: 05/02/25: Jon Beniston: Re: NiosII Vs MicroBlaze
79821: 05/02/24: Partha Biswas: Problems with XPower
79823: 05/02/24: gretzteam: Fast 28x28 multiplier + adder in Virtex4
    79824: 05/02/24: glen herrmannsfeldt: Re: Fast 28x28 multiplier + adder in Virtex4
    79826: 05/02/24: Kevin Neilson: Re: Fast 28x28 multiplier + adder in Virtex4
        79880: 05/02/25: Falk Brunner: Re: Fast 28x28 multiplier + adder in Virtex4
            79910: 05/02/25: glen herrmannsfeldt: Re: Fast 28x28 multiplier + adder in Virtex4
                79956: 05/02/26: David: Re: Fast 28x28 multiplier + adder in Virtex4
                    80092: 05/03/01: glen herrmannsfeldt: Re: Fast 28x28 multiplier + adder in Virtex4
    79870: 05/02/25: gretzteam: Re: Fast 28x28 multiplier + adder in Virtex4
    79908: 05/02/25: gretzteam: Re: Fast 28x28 multiplier + adder in Virtex4
    79957: 05/02/26: Marc Randolph: Re: Fast 28x28 multiplier + adder in Virtex4
    80082: 05/03/01: gretzteam: Re: Fast 28x28 multiplier + adder in Virtex4
79827: 05/02/24: MikeD: pld macrocell usage
    79833: 05/02/24: vax, 9000: Re: pld macrocell usage
        79879: 05/02/25: Falk Brunner: Re: pld macrocell usage
79828: 05/02/24: vax, 9000: Altera available from Digikey
    79829: 05/02/24: MikeD: Re: Altera available from Digikey
    79855: 05/02/25: Uwe Bonnes: Re: Altera available from Digikey
79832: 05/02/24: KCL: publishing IP
    79841: 05/02/25: Jeremy Stringer: Re: publishing IP
        80014: 05/02/28: Michel Billaud: Re: publishing IP
            80027: 05/03/01: Jeremy Stringer: Re: publishing IP
            80169: 05/03/02: Sander Vesik: Re: publishing IP
                80185: 05/03/02: <billaud@labri.u-bordeaux.fr>: Re: publishing IP
    79843: 05/02/24: Eric Crabill: Re: publishing IP
79835: 05/02/24: Thanaporn: Using XBERT(XAPP661) with EDK6.3SP1
    79919: 05/02/25: Paulo Dutra: Re: Using XBERT(XAPP661) with EDK6.3SP1
79836: 05/02/24: kowari: Synthesis question
    79840: 05/02/24: Mike Treseler: Re: Synthesis question
        79863: 05/02/25: jandc: Re: Synthesis question
        79891: 05/02/25: Mike Treseler: Re: Synthesis question
    79842: 05/02/24: kowari: Re: Synthesis question
    79875: 05/02/25: Vladislav Muravin: Re: Synthesis question
79846: 05/02/24: Partha Biswas: Questions on XPower: "Confidence level is shown as inaccurate"
    79854: 05/02/25: Ken: Re: Questions on XPower: "Confidence level is shown as inaccurate"
79849: 05/02/25: FLOOR MASTER: Digilent D2SB FPGA Boards
    79928: 05/02/26: Hal Murray: Re: Digilent D2SB FPGA Boards
79851: 05/02/25: stella: Ml310(xc2vp30) with ppc 405,multi processor share memory?
    79853: 05/02/25: Wing Fong Wong: Re: Ml310(xc2vp30) with ppc 405,multi processor share memory?
    79861: 05/02/25: Nju Njoroge: Re: Ml310(xc2vp30) with ppc 405,multi processor share memory?
79856: 05/02/25: KCL: Engineer in Eastern Europe
    79924: 05/02/25: Ljubisa Bajic: Re: Engineer in Eastern Europe
79865: 05/02/25: =?ISO-8859-1?Q?Andr=E9s?=: EC/ECP Map Problem
    79882: 05/02/25: Gabor: Re: EC/ECP Map Problem
79866: 05/02/25: KCL: VIE in electronic and FPGA design
    79897: 05/02/25: Georgi Beloev: Re: VIE in electronic and FPGA design
        79899: 05/02/25: KCL: Re: VIE in electronic and FPGA design
79867: 05/02/25: Richard Thompson: IP unnecessarily using Spartan-3 DCM?
    79868: 05/02/25: Antti Lukats: Re: IP unnecessarily using Spartan-3 DCM?
        79872: 05/02/25: Richard Thompson: Re: IP unnecessarily using Spartan-3 DCM?
            79873: 05/02/25: Antti Lukats: Re: IP unnecessarily using Spartan-3 DCM?
            79874: 05/02/25: Austin Lesea: Re: IP unnecessarily using Spartan-3 DCM?
                79886: 05/02/25: Richard Thompson: Re: IP unnecessarily using Spartan-3 DCM?
                    79890: 05/02/25: Austin Lesea: Re: IP unnecessarily using Spartan-3 DCM?
                        79904: 05/02/25: Richard Thompson: Re: IP unnecessarily using Spartan-3 DCM?
    79881: 05/02/25: Gabor: Re: IP unnecessarily using Spartan-3 DCM?
    79887: 05/02/25: Peter Alfke: Re: IP unnecessarily using Spartan-3 DCM?
79871: 05/02/25: steven: Virtex4 : speed improvement
    79877: 05/02/25: Austin Lesea: Re: Virtex4 : speed improvement
    80264: 05/03/02: Marc Randolph: Re: Virtex4 : speed improvement
79883: 05/02/25: Dipl.-Ing. Hanns-Walter Schulz: Can't create Bus-Tap in Xilinx' ECS
    79889: 05/02/25: John_H: Re: Can't create Bus-Tap in Xilinx' ECS
79885: 05/02/25: Antti Lukats: Virtex-4 performance, where is it?
    79888: 05/02/25: John_H: Re: Virtex-4 performance, where is it?
        79893: 05/02/25: Antti Lukats: Re: Virtex-4 performance, where is it?
            79901: 05/02/25: Austin Lesea: Re: Virtex-4 performance, where is it?
    79892: 05/02/25: Austin Lesea: Re: Virtex-4 performance, where is it?
        79896: 05/02/25: Antti Lukats: Re: Virtex-4 performance, where is it?
            79902: 05/02/25: Austin Lesea: Re: Virtex-4 performance, where is it?
79894: 05/02/25: RobJ: dealing with NGO files
    79907: 05/02/25: Evan Lavelle: Re: dealing with NGO files
        79914: 05/02/25: RobJ: Re: dealing with NGO files
            79918: 05/02/25: Paulo Dutra: Re: dealing with NGO files
    79920: 05/02/25: Brian Davis: Re: dealing with NGO files
79898: 05/02/25: KCL: Error in ISE 6.3
    79900: 05/02/25: Jezwold: Re: Error in ISE 6.3
79903: 05/02/25: Camelot: SD Card question?
    80087: 05/03/01: Rufus V. Smith: Re: SD Card question?
79911: 05/02/25: Yaju N: Maximum Current utilized by Spartan-3
    79912: 05/02/25: Austin Lesea: Re: Maximum Current utilized by Spartan-3
    79913: 05/02/25: Jake Janovetz: Re: Maximum Current utilized by Spartan-3
    79917: 05/02/25: Peter Alfke: Re: Maximum Current utilized by Spartan-3
        79927: 05/02/25: Jake Janovetz: Re: Maximum Current utilized by Spartan-3
            79991: 05/02/27: Hal Murray: Re: Maximum Current utilized by Spartan-3
                80003: 05/02/28: Mike Harrison: Re: Maximum Current utilized by Spartan-3
                80038: 05/02/28: Eric Smith: Re: Maximum Current utilized by Spartan-3
                    80373: 05/03/04: Kolja Sulimma: Re: Maximum Current utilized by Spartan-3
                        80392: 05/03/04: Falk Brunner: Re: Maximum Current utilized by Spartan-3
                            80403: 05/03/04: Eric Smith: Re: Maximum Current utilized by Spartan-3
                                80451: 05/03/06: Brian Drummond: Re: Maximum Current utilized by Spartan-3
                        80394: 05/03/04: Eric Smith: Re: Maximum Current utilized by Spartan-3
        80276: 05/03/03: Kolja Sulimma: Re: Maximum Current utilized by Spartan-3
    79922: 05/02/25: Yaju N: Re: Maximum Current utilized by Spartan-3
    79925: 05/02/25: Peter Alfke: Re: Maximum Current utilized by Spartan-3
    80249: 05/03/02: Yaju N: Re: Maximum Current utilized by Spartan-3
79915: 05/02/25: AL: SVF file
    79926: 05/02/25: Neil Glenn Jacobson: Re: SVF file
    80090: 05/03/01: AL: Re: SVF file
79930: 05/02/25: Sandy: pci x core on virtex II
79931: 05/02/26: digi: EDK IPIF FIFO Problems
79933: 05/02/26: williams: Interfacing virtex 2 pro to flash memory
79934: 05/02/26: Benjamin Menküc: spartan 3 vs virtex 2
    79935: 05/02/26: Uwe Bonnes: Re: spartan 3 vs virtex 2
        79937: 05/02/26: Benjamin Menküc: Re: spartan 3 vs virtex 2
            79943: 05/02/26: Uwe Bonnes: Re: spartan 3 vs virtex 2
        79938: 05/02/26: Benjamin Menküc: Re: spartan 3 vs virtex 2
            79944: 05/02/26: Uwe Bonnes: Re: spartan 3 vs virtex 2
                79999: 05/02/28: Benjamin Menküc: Re: spartan 3 vs virtex 2
                80018: 05/02/28: Uwe Bonnes: Re: spartan 3 vs virtex 2
    79946: 05/02/26: Peter Alfke: Re: spartan 3 vs virtex 2
    79994: 05/02/27: <digari@dacafe.com>: Re: spartan 3 vs virtex 2
    80015: 05/02/28: Peter Alfke: Re: spartan 3 vs virtex 2
79936: 05/02/26: adrian: lwip on spartan3
79940: 05/02/26: Benjamin Menküc: livedesign or ise
    79966: 05/02/27: Alex Freed: Re: livedesign or ise
        80000: 05/02/28: Benjamin Menküc: Re: livedesign or ise
            80002: 05/02/28: Antti Lukats: Re: livedesign or ise
79941: 05/02/26: <manan.kathuria@gmail.com>: setup-hold time problems
    79947: 05/02/26: Peter Alfke: Re: setup-hold time problems
        79949: 05/02/26: Falk Brunner: Re: setup-hold time problems
    79950: 05/02/26: mann!: Re: setup-hold time problems
    79952: 05/02/26: mk: Re: setup-hold time problems
    80270: 05/03/03: Ronald H. Nicholson Jr.: Re: setup-hold time problems
79942: 05/02/26: fpgawizz: programming 2 pulses using VHDL
    79945: 05/02/26: Lukasz Salwinski: Re: programming 2 pulses using VHDL
79948: 05/02/26: cecilia annovi: block adder for Altera!
    79951: 05/02/26: Mike Treseler: Re: block adder for Altera!
    79954: 05/02/27: Subroto Datta: Re: block adder for Altera!
        79971: 05/02/27: cecilia annovi: Re: block adder for Altera!
            79973: 05/02/27: Mike Treseler: Re: block adder for Altera!
                80017: 05/02/28: Nisheeth: Re: block adder for Altera!
                    80093: 05/03/01: Martin Schoeberl: Re: block adder for Altera!
                        80099: 05/03/01: cecilia annovi: Re: block adder for Altera!
                            80136: 05/03/01: Ken McElvain: Re: block adder for Altera!
    80020: 05/02/28: glen herrmannsfeldt: Re: block adder for Altera!
79953: 05/02/26: Nju Njoroge: PLB Retry (Rearbitrate ) Request from PLB DDR Slave Controller
79959: 05/02/26: Peter Alfke: The third high-wire act: Signal Integrity or "It's the inductance, stupid".
79960: 05/02/27: FAS3: Platform Cable USB
    80076: 05/03/01: Udo: Re: Platform Cable USB
79961: 05/02/26: greenplanet: I2C protocol to communicate between FPGAs
    79962: 05/02/27: Jezwold: Re: I2C protocol to communicate between FPGAs
        79965: 05/02/27: Anthony Fremont: Re: I2C protocol to communicate between FPGAs
            79967: 05/02/27: Kryten: Re: I2C protocol to communicate between FPGAs
                79984: 05/02/28: Anthony Fremont: Re: I2C protocol to communicate between FPGAs
                    79988: 05/02/28: Kryten: Re: I2C protocol to communicate between FPGAs
                        80009: 05/02/28: Anthony Fremont: Re: I2C protocol to communicate between FPGAs
                            80012: 05/02/28: Kryten: Re: I2C protocol to communicate between FPGAs
                    79990: 05/02/28: Jim Granville: Re: I2C protocol to communicate between FPGAs
                        80010: 05/02/28: Anthony Fremont: Re: I2C protocol to communicate between FPGAs
                        80011: 05/02/28: Kryten: Re: I2C protocol to communicate between FPGAs
            80035: 05/02/28: Kolja Sulimma: Re: I2C protocol to communicate between FPGAs
                80039: 05/02/28: glen herrmannsfeldt: Re: I2C protocol to communicate between FPGAs
    80050: 05/02/28: greenplanet: Re: I2C protocol to communicate between FPGAs
        80072: 05/03/01: Kolja Sulimma: Re: I2C protocol to communicate between FPGAs
    80080: 05/03/01: Gabor: Re: I2C protocol to communicate between FPGAs
79968: 05/02/27: <ra_arce@yahoo.com>: Resource (FMAPs) use when using block RAMs
    80061: 05/02/28: Ken McElvain: Re: Resource (FMAPs) use when using block RAMs
    80062: 05/02/28: Peter Alfke: Re: Resource (FMAPs) use when using block RAMs
    80091: 05/03/01: Rafael Arce: Re: Resource (FMAPs) use when using block RAMs
79969: 05/02/27: mann!: maximum frequency of operation
79970: 05/02/27: mann!: maximum freq of operation of a circuit
    79975: 05/02/27: Peter Alfke: Re: maximum freq of operation of a circuit
79992: 05/02/28: Tommy Thorn: Quartus 4.2 SP1 woes with Samba & !@#$ "Flex"LM
    79993: 05/02/27: Mike Treseler: Re: Quartus 4.2 SP1 woes with Samba & !@#$ "Flex"LM
79995: 05/02/28: Eug: Problem with LXT970A
    80107: 05/03/02: Jeremy Stringer: Re: Problem with LXT970A
79996: 05/02/28: Linas Petras: Update EDK 6.1 to EDK 6.3
    80007: 05/02/28: Chinix: Re: Update EDK 6.1 to EDK 6.3
80004: 05/02/28: Javier Castillo: SystemC to Verilog Translator v0.4
80008: 05/02/28: Chinix: synthesis tool for systemc
    80013: 05/02/28: Javier Castillo: Re: synthesis tool for systemc
    157879: 15/05/07: princesse91: Re: synthesis tool for systemc
        157881: 15/05/08: <jancooo@gmail.com>: Re: synthesis tool for systemc
    157880: 15/05/07: Tim Wescott: Re: synthesis tool for systemc
    157882: 15/05/08: Tim Wescott: Re: synthesis tool for systemc
80019: 05/02/28: Kevin Brown: Missing Virtex4 Speedfile
    80173: 05/03/02: John McCluskey: Re: Missing Virtex4 Speedfile
    80176: 05/03/02: Marc Randolph: Re: Missing Virtex4 Speedfile
80021: 05/02/28: cecilia annovi: packages(2)
    80023: 05/02/28: <DerekSimmons@FrontierNet.net>: Re: packages(2)
        80098: 05/03/01: cecilia annovi: Re: packages(2)
    80025: 05/02/28: Ben Twijnstra: Re: packages(2)
80030: 05/02/28: Benjamin Menküc: OT: funny idea
    80074: 05/03/01: Michel Billaud: Re: OT: funny idea
        80086: 05/03/01: Austin Lesea: Why We Post*
    80141: 05/03/01: <big_in_russia@yahoo.com>: Re: OT: funny idea
        80166: 05/03/02: Benjamin Menküc: Re: OT: funny idea
80031: 05/02/28: MM: RocketIO, where to start?
    80037: 05/02/28: nigelg: Re: RocketIO, where to start?
        80084: 05/03/01: MM: Re: RocketIO, where to start?
            80110: 05/03/01: nigelg: Re: RocketIO, where to start?
                80114: 05/03/01: MM: Re: RocketIO, where to start?
                    80184: 05/03/02: MM: Re: RocketIO, where to start?
                    80186: 05/03/02: Austin Lesea: Re: RocketIO, where to start?
                        80197: 05/03/02: Hal Murray: Re: RocketIO, where to start?
                        83439: 05/04/29: Roel: Re: RocketIO, where to start?
    80042: 05/02/28: Duane Clark: Re: RocketIO, where to start?
        80085: 05/03/01: MM: Re: RocketIO, where to start?
80032: 05/02/28: morpheus: modelling Bi-directional address/data multiplexed bus
    80034: 05/02/28: newman: Re: modelling Bi-directional address/data multiplexed bus
    80056: 05/02/28: morpheus: Re: modelling Bi-directional address/data multiplexed bus
80036: 05/02/28: skherich: high fan out skew in v2pro
    80044: 05/02/28: Symon: Re: high fan out skew in v2pro
    80414: 05/03/05: Rudolf Usselmann: Re: high fan out skew in v2pro
        80418: 05/03/05: Falk Brunner: Re: high fan out skew in v2pro
80040: 05/02/28: B. Joshua Rosen: FPGA tool benchmarks on Linux systems
    80051: 05/02/28: Jason Zheng: Re: FPGA tool benchmarks on Linux systems
        80064: 05/03/01: Kim Enkovaara: Re: FPGA tool benchmarks on Linux systems
            80095: 05/03/01: Christian Schneider: Re: FPGA tool benchmarks on Linux systems
                80102: 05/03/01: Dave Colson: Re: FPGA tool benchmarks on Linux systems
                    80105: 05/03/01: Christian Schneider: Re: FPGA tool benchmarks on Linux systems
                    80106: 05/03/01: Jason Zheng: Re: FPGA tool benchmarks on Linux systems
                        80109: 05/03/01: B. Joshua Rosen: Re: FPGA tool benchmarks on Linux systems
                            80111: 05/03/01: Thomas Entner: Re: FPGA tool benchmarks on Linux systems
                                80113: 05/03/01: Jason Zheng: Re: FPGA tool benchmarks on Linux systems
                                    80233: 05/03/02: Petter Gustad: Re: FPGA tool benchmarks on Linux systems
                            80151: 05/03/02: Tuukka Toivonen: Re: FPGA tool benchmarks on Linux systems
                            80161: 05/03/02: Kim Enkovaara: Re: FPGA tool benchmarks on Linux systems
                            80231: 05/03/02: Petter Gustad: Re: FPGA tool benchmarks on Linux systems
                                80241: 05/03/02: B. Joshua Rosen: Re: FPGA tool benchmarks on Linux systems
                    80228: 05/03/02: Petter Gustad: Re: FPGA tool benchmarks on Linux systems
                80158: 05/03/02: Kim Enkovaara: Re: FPGA tool benchmarks on Linux systems
            80263: 05/03/03: nonoe: Re: FPGA tool benchmarks on Linux systems
    80319: 05/03/03: EdA: Re: FPGA tool benchmarks on Linux systems
80046: 05/02/28: Pete: virtex4 virtex-4 FX eval board
    80057: 05/02/28: Marc Randolph: Re: virtex4 virtex-4 FX eval board
    80065: 05/03/01: Linas Petras: Re: virtex4 virtex-4 FX eval board
80058: 05/02/28: Peter Alfke: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs, parasitic inductance...
    80130: 05/03/01: Peter Alfke: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs, parasitic inductance...
        80199: 05/03/02: Austin Lesea: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs,
        80203: 05/03/02: Falk Brunner: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs, parasitic inductance...
        80221: 05/03/02: IgI: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs, parasitic inductance...
            80225: 05/03/02: Austin Lesea: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs,
        80224: 05/03/02: Austin Lesea: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs,
            80292: 05/03/03: Ljubisa Bajic: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs, parasitic inductance...
        80303: 05/03/03: Falk Brunner: Re: V4 SI: The package is thrilling, but the Cin is bleak
            80320: 05/03/03: Austin Lesea: Re: V4 SI: The package is thrilling, but the Cin is bleak
            80365: 05/03/04: Austin Lesea: Re: V4 SI: The package is thrilling, but the Cin is bleak
        80305: 05/03/03: Austin Lesea: Re: V4 SI: The package is thrilling Explanation of Cin
            80428: 05/03/05: austin: Re: V4 SI: The package is thrilling Explanation of Cin
            80548: 05/03/08: Symon: Re: V4 SI: The package is thrilling Explanation of Cin
    80198: 05/03/02: Peter Alfke: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs, parasitic inductance...
    80218: 05/03/02: <jaxato@gmail.com>: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs, parasitic inductance...
    80223: 05/03/02: Peter Alfke: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs, parasitic inductance...
    80229: 05/03/02: <jaxato@gmail.com>: Re: Signal Integrity, ground bounce, crosstalk, SSOs, BGA pin-outs, parasitic inductance...
    80288: 05/03/03: Brian Davis: V4 SI: The package is thrilling, but the Cin is bleak
    80360: 05/03/04: Brian Davis: Re: V4 SI: The package is thrilling, but the Cin is bleak
    80421: 05/03/05: Brian Davis: Re: V4 SI: The package is thrilling Explanation of Cin


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search