Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Threads Starting Nov 2007

125692: 07/11/01: <raullim7@hotmail.com>: can i use dual edge or two clocks?
    125693: 07/11/01: Frank Buss: Re: can i use dual edge or two clocks?
        125695: 07/11/01: David R Brooks: Re: can i use dual edge or two clocks?
        125701: 07/11/01: Mike Lewis: Re: can i use dual edge or two clocks?
        125705: 07/11/01: Mike Lewis: Re: can i use dual edge or two clocks?
        125706: 07/11/01: Frank Buss: Re: can i use dual edge or two clocks?
    125700: 07/11/01: <raullim7@hotmail.com>: Re: can i use dual edge or two clocks?
    125704: 07/11/01: Peter Alfke: Re: can i use dual edge or two clocks?
        125708: 07/11/01: Matthew Hicks: Re: can i use dual edge or two clocks?
            125712: 07/11/02: Jim Granville: Re: can i use dual edge or two clocks?
                125722: 07/11/01: Symon: Re: can i use dual edge or two clocks?
                    125723: 07/11/02: Jim Granville: Re: can i use dual edge or two clocks?
        125732: 07/11/01: <raullim7@hotmail.com>: Re: can i use dual edge or two clocks?
        125733: 07/11/01: Thomas Stanka: Re: can i use dual edge or two clocks?
        125736: 07/11/02: <raullim7@hotmail.com>: Re: can i use dual edge or two clocks?
    125718: 07/11/01: MM: Re: can i use dual edge or two clocks?
125707: 07/11/01: <noreply.larthe@gmail.com>: ISE ignores LOC constraints for BUFGMUX clock buffers
    125710: 07/11/01: <noreply.larthe@gmail.com>: Re: ISE ignores LOC constraints for BUFGMUX clock buffers
125709: 07/11/01: <Petrov_101@hotmail.com>: Another way to handle floating inputs.
    125711: 07/11/01: austin: Re: Another way to handle floating inputs.
        125721: 07/11/01: austin: Re: Another way to handle floating inputs.
    125713: 07/11/02: Jim Granville: Re: Another way to handle floating inputs.
    125714: 07/11/01: <Petrov_101@hotmail.com>: Re: Another way to handle floating inputs.
    125715: 07/11/01: <Petrov_101@hotmail.com>: Re: Another way to handle floating inputs.
    125716: 07/11/01: Dave Pollum: Re: Another way to handle floating inputs.
    125717: 07/11/01: <Petrov_101@hotmail.com>: Re: Another way to handle floating inputs.
    125726: 07/11/01: Peter Alfke: Re: Another way to handle floating inputs.
        125737: 07/11/02: RCIngham: Re: Another way to handle floating inputs.
            125789: 07/11/05: RCIngham: Re: Another way to handle floating inputs.
    125744: 07/11/02: Peter Alfke: Re: Another way to handle floating inputs.
    125749: 07/11/02: comp.arch.fpga: Re: Another way to handle floating inputs.
        125753: 07/11/03: Jim Granville: Re: Another way to handle floating inputs.
    125752: 07/11/02: Peter Alfke: Re: Another way to handle floating inputs.
    125774: 07/11/04: Peter Alfke: Re: Another way to handle floating inputs.
    125794: 07/11/05: Peter Alfke: Re: Another way to handle floating inputs.
125719: 07/11/01: fazulu deen: fpga based designs
    125724: 07/11/01: John_H: Re: fpga based designs
    125725: 07/11/01: John McCaskill: Re: fpga based designs
    125768: 07/11/04: vasile: Re: fpga based designs
    126111: 07/11/14: Kris Vorwerk: Re: fpga based designs
125720: 07/11/01: <brianwfarmer@gmail.com>: Xilinx's System Generator versus Mathworks' Link for Modelsim
    125757: 07/11/03: Mike Treseler: Re: Xilinx's System Generator versus Mathworks' Link for Modelsim
125727: 07/11/01: <me_2003@walla.co.il>: To Xilinx users - PLB bus features (for PPC)
    125731: 07/11/02: <slmccaskill@gmail.com>: Re: To Xilinx users - PLB bus features (for PPC)
125729: 07/11/02: <xmkong@gmail.com>: code hang after loading through gdb
    125739: 07/11/02: morphiend: Re: code hang after loading through gdb
    125754: 07/11/02: <xmkong@gmail.com>: Re: code hang after loading through gdb
    125805: 07/11/06: <xmkong@gmail.com>: Re: code hang after loading through gdb
125730: 07/11/01: Xilinx User: Xilinx EDK and Windows Vista?
    125745: 07/11/02: <ghelbig@lycos.com>: Re: Xilinx EDK and Windows Vista?
        125746: 07/11/02: <steve.lass@xilinx.com>: Re: Xilinx EDK and Windows Vista?
            125751: 07/11/02: <steve.lass@xilinx.com>: Re: Xilinx EDK and Windows Vista?
    125748: 07/11/02: Dave: Re: Xilinx EDK and Windows Vista?
125734: 07/11/02: <kgll8ss@yahoo.com>: Spartan-3 (XC3S400) DDR LVDS support?
    125741: 07/11/02: <lb.edc@telenet.be>: Re: Spartan-3 (XC3S400) DDR LVDS support?
125740: 07/11/02: <giorgos.puiklis@gmail.com>: Synthesizing with specific primitive-elements
    125742: 07/11/02: mk: Re: Synthesizing with specific primitive-elements
    125743: 07/11/02: John_H: Re: Synthesizing with specific primitive-elements
    125750: 07/11/02: comp.arch.fpga: Re: Synthesizing with specific primitive-elements
125756: 07/11/04: G Iveco: How do I meet this memory IO with least resources on FPGA?
    125758: 07/11/03: KJ: Re: How do I meet this memory IO with least resources on FPGA?
        125759: 07/11/04: G Iveco: Re: How do I meet this memory IO with least resources on FPGA?
            125761: 07/11/03: KJ: Re: How do I meet this memory IO with least resources on FPGA?
    125760: 07/11/03: Nico Coesel: Re: How do I meet this memory IO with least resources on FPGA?
        125766: 07/11/04: G Iveco: Re: How do I meet this memory IO with least resources on FPGA?
    125764: 07/11/03: Peter Alfke: Re: How do I meet this memory IO with least resources on FPGA?
    125767: 07/11/04: evilkidder@googlemail.com: Re: How do I meet this memory IO with least resources on FPGA?
    125773: 07/11/04: Peter Alfke: Re: How do I meet this memory IO with least resources on FPGA?
    125779: 07/11/05: Alvin Andries: Re: How do I meet this memory IO with least resources on FPGA?
125762: 07/11/03: roger: Problem using xilinx usb download cable in linux
    125964: 07/11/10: Michael Gernoth: Re: Problem using xilinx usb download cable in linux
        126028: 07/11/12: Michael Gernoth: Re: Problem using xilinx usb download cable in linux
        126408: 07/11/21: Ken Ryan: Re: Problem using xilinx usb download cable in linux
    125986: 07/11/11: roger: Re: Problem using xilinx usb download cable in linux
    126026: 07/11/12: roger: Re: Problem using xilinx usb download cable in linux
125763: 07/11/03: Vince: Static PLL
    125769: 07/11/04: <MikeShepherd564@btinternet.com>: Re: Static PLL
    125792: 07/11/05: <rouzbeh.h@actel.com>: Re: Static PLL
    125796: 07/11/05: Vince: Re: Static PLL
    125856: 07/11/06: <rouzbeh.h@actel.com>: Re: Static PLL
125770: 07/11/04: water9580@yahoo.com: Xilinx PCI-Express Endpoint Block IP
    125777: 07/11/04: sovan: Re: Xilinx PCI-Express Endpoint Block IP
    125780: 07/11/04: water9580@yahoo.com: Re: Xilinx PCI-Express Endpoint Block IP
125771: 07/11/04: water9580@yahoo.com: Xilinx PCI-express coregen
    125782: 07/11/05: John_H: Re: Xilinx PCI-express coregen
125772: 07/11/04: <me_2003@walla.co.il>: APU (xilinx PPC) is it a soft core ?
    125775: 07/11/04: austin: Re: APU (xilinx PPC) is it a soft core ?
125776: 07/11/04: maxascent: DDR2 Interface
    125778: 07/11/04: austin: Re: DDR2 Interface
125781: 07/11/05: Bryan: Audio Output from Spartan 3 Starter Kit
    125787: 07/11/05: <MikeShepherd564@btinternet.com>: Re: Audio Output from Spartan 3 Starter Kit
        125804: 07/11/05: Ray Andraka: Re: Audio Output from Spartan 3 Starter Kit
            125806: 07/11/06: <MikeShepherd564@btinternet.com>: Re: Audio Output from Spartan 3 Starter Kit
    125807: 07/11/05: Peter Alfke: Re: Audio Output from Spartan 3 Starter Kit
125783: 07/11/04: Eric Smith: Linux (not uClinux) on Microblaze 7.0 w/MMU?
    125790: 07/11/05: morphiend: Re: Linux (not uClinux) on Microblaze 7.0 w/MMU?
    127496: 07/12/29: rsl: Re: Linux (not uClinux) on Microblaze 7.0 w/MMU?
125784: 07/11/04: <raullim7@hotmail.com>: Global Variables
    125788: 07/11/05: RCIngham: Re: Global Variables
    125791: 07/11/05: Andy: Re: Global Variables
125786: 07/11/05: Bathala: linking error using mb-g++
125795: 07/11/05: <jtindle@gmail.com>: FPGA I/O Selection in UCF
    125797: 07/11/05: Gabor: Re: FPGA I/O Selection in UCF
        125803: 07/11/05: austin: Re: FPGA I/O Selection in UCF
        125808: 07/11/05: Hal Murray: Re: FPGA I/O Selection in UCF
    125798: 07/11/05: morphiend: Re: FPGA I/O Selection in UCF
    125799: 07/11/05: austin: Re: FPGA I/O Selection in UCF
    125800: 07/11/05: <jtindle@gmail.com>: Re: FPGA I/O Selection in UCF
    125801: 07/11/05: <jtindle@gmail.com>: Re: FPGA I/O Selection in UCF
125802: 07/11/05: Wojciech Zabolotny: Linux capable free/GPL SOFT CPU for XC3S500E?
    125813: 07/11/06: Antti: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
        125816: 07/11/06: Steven Derrien: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
    125815: 07/11/06: <mares.vit@gmail.com>: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
    125817: 07/11/06: Wojciech Zabolotny: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
    125818: 07/11/06: <mares.vit@gmail.com>: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
    125820: 07/11/06: Wojciech Zabolotny: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
    125821: 07/11/06: Andreas Ehliar: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
    125822: 07/11/06: <mares.vit@gmail.com>: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
    125863: 07/11/07: <ghelbig@lycos.com>: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
    125870: 07/11/07: Wojciech Zabolotny: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
    125881: 07/11/07: <ghelbig@lycos.com>: Re: Linux capable free/GPL SOFT CPU for XC3S500E?
125809: 07/11/05: John Larkin: not totally repulsive
    125810: 07/11/05: BobW: Re: not totally repulsive
    125811: 07/11/06: Jim Granville: Re: not totally repulsive
        125824: 07/11/06: Eli Hughes: Re: not totally repulsive
            125828: 07/11/06: John Larkin: Re: not totally repulsive
                125829: 07/11/06: BobW: Re: not totally repulsive
                    125835: 07/11/06: KJ: Re: not totally repulsive
                    125841: 07/11/07: Jim Granville: Re: not totally repulsive
                        125844: 07/11/06: Symon: Re: not totally repulsive
                            125847: 07/11/06: BobW: Re: not totally repulsive
                            125849: 07/11/07: Jim Granville: Re: not totally repulsive
                        125846: 07/11/06: BobW: Re: not totally repulsive
                            125853: 07/11/06: John Larkin: Re: not totally repulsive
                        125848: 07/11/06: Sean Durkin: Re: not totally repulsive
                125923: 07/11/08: Tom Del Rosso: Re: not totally repulsive
    125825: 07/11/06: Gabor: Re: not totally repulsive
    125827: 07/11/06: austin: Re: not totally repulsive
        125831: 07/11/06: John Larkin: Re: not totally repulsive
            125836: 07/11/06: John Larkin: Re: not totally repulsive
                125843: 07/11/06: Jon Elson: Re: not totally repulsive
                125845: 07/11/06: John Larkin: Re: not totally repulsive
            125854: 07/11/06: John Larkin: Re: not totally repulsive
                125858: 07/11/07: John Devereux: Re: not totally repulsive
                    125859: 07/11/07: Spehro Pefhany: Re: not totally repulsive
                    125909: 07/11/08: Spehro Pefhany: Re: not totally repulsive
                        125910: 07/11/08: Spehro Pefhany: Re: not totally repulsive
                    125912: 07/11/08: John Larkin: Re: not totally repulsive
                        125926: 07/11/08: Tim Williams: Re: not totally repulsive
                            125927: 07/11/08: John Larkin: Re: not totally repulsive
                            125934: 07/11/09: <MikeShepherd564@btinternet.com>: Re: not totally repulsive
                        125942: 07/11/09: John Larkin: Re: not totally repulsive
    125833: 07/11/06: Andy: Re: not totally repulsive
    125838: 07/11/06: Dave Pollum: Re: not totally repulsive
    125842: 07/11/06: Andy: Re: not totally repulsive
    125850: 07/11/06: <a7yvm109gf5d1@netzero.com>: Re: not totally repulsive
    125851: 07/11/06: Rich Grise: Re: not totally repulsive
        125852: 07/11/06: Symon: Re: not totally repulsive
    125908: 07/11/08: Andy: Re: not totally repulsive
    125941: 07/11/09: Andy: Re: not totally repulsive
125819: 07/11/06: blisca: May i program a Spartan 3 fpga with a 1,8 V Digilent cable?
    125826: 07/11/06: Gabor: Re: May i program a Spartan 3 fpga with a 1,8 V Digilent cable?
        125830: 07/11/06: blisca: R: May i program a Spartan 3 fpga with a 1,8 V Digilent cable?
125823: 07/11/06: xenix: ERROR:MDT - transparent bus interface connector
    125832: 07/11/06: morphiend: Re: ERROR:MDT - transparent bus interface connector
    125862: 07/11/07: xenix: Re: ERROR:MDT - transparent bus interface connector
125834: 07/11/06: psihodelia@googlemail.com: Why dynamic partial reconfiguration is still not there?
    125837: 07/11/06: Mike Treseler: Re: Why dynamic partial reconfiguration is still not there?
    125839: 07/11/06: fpgauser: Re: Why dynamic partial reconfiguration is still not there?
    125973: 07/11/10: Adam Megacz: Re: Why dynamic partial reconfiguration is still not there?
        125976: 07/11/10: Mike Treseler: Re: Why dynamic partial reconfiguration is still not there?
125855: 07/11/06: <raullim7@hotmail.com>: Time Delay in FPGA
    125860: 07/11/07: roger: Re: Time Delay in FPGA
125857: 07/11/07: <raullim7@hotmail.com>: FPGA Clock signal
    125861: 07/11/07: roger: Re: FPGA Clock signal
    125864: 07/11/07: John_H: Re: FPGA Clock signal
    125924: 07/11/08: <raullim7@hotmail.com>: Re: FPGA Clock signal
    125948: 07/11/09: John LeVieux: Re: FPGA Clock signal
    125965: 07/11/10: Naive_Algorithm: Re: FPGA Clock signal
125865: 07/11/07: Pasacco: [Linker script : EDK6.3 -> EDK 8.2] Parse error
125866: 07/11/07: u_stadler@yahoo.de: did i miss edk 9.2
    125867: 07/11/07: MM: Re: did i miss edk 9.2
        126409: 07/11/21: Ken Ryan: Re: did i miss edk 9.2
    125868: 07/11/07: Alain: Re: did i miss edk 9.2
    125911: 07/11/08: svenand: Re: did i miss edk 9.2
    126403: 07/11/21: <satih82@gmail.com>: Re: did i miss edk 9.2
125869: 07/11/07: argee: Custom processor developement issues
    125871: 07/11/07: Andrew FPGA: Re: Custom processor developement issues
        125874: 07/11/07: argee: Re: Custom processor developement issues
            125878: 07/11/07: austin: Re: Custom processor developement issues
    125876: 07/11/07: KJ: Re: Custom processor developement issues
        125879: 07/11/07: KJ: Re: Custom processor developement issues
    125886: 07/11/08: argee: Re: Custom processor developement issues
125872: 07/11/07: rickman: Non-volatile FPGA in a small package
    125873: 07/11/07: Symon: Re: Non-volatile FPGA in a small package
    125875: 07/11/08: Jim Granville: Re: Non-volatile FPGA in a small package
    125877: 07/11/07: Marc A. Baker: Re: Non-volatile FPGA in a small package
        125884: 07/11/08: Uwe Bonnes: Re: Non-volatile FPGA in a small package
        125887: 07/11/08: Brian Drummond: Re: Non-volatile FPGA in a small package
    125880: 07/11/07: Alex: Re: Non-volatile FPGA in a small package
        125896: 07/11/08: <lb.edc@telenet.be>: Re: Non-volatile FPGA in a small package
    125882: 07/11/07: rickman: Re: Non-volatile FPGA in a small package
    125883: 07/11/07: rickman: Re: Non-volatile FPGA in a small package
    125885: 07/11/08: Maki: Re: Non-volatile FPGA in a small package
    125894: 07/11/08: rickman: Re: Non-volatile FPGA in a small package
    125899: 07/11/08: Alex: Re: Non-volatile FPGA in a small package
    125900: 07/11/08: Kryvor: Re: Non-volatile FPGA in a small package
        125906: 07/11/08: John_H: Re: Non-volatile FPGA in a small package
    125901: 07/11/08: rickman: Re: Non-volatile FPGA in a small package
    125907: 07/11/08: Maki: Re: Non-volatile FPGA in a small package
    125921: 07/11/08: Gabor: Re: Non-volatile FPGA in a small package
    125956: 07/11/09: <cs_posting@hotmail.com>: Re: Non-volatile FPGA in a small package
    125984: 07/11/11: Thomas Stanka: Re: Non-volatile FPGA in a small package
    125985: 07/11/11: <cs_posting@hotmail.com>: Re: Non-volatile FPGA in a small package
    126087: 07/11/14: Kris Vorwerk: Re: Non-volatile FPGA in a small package
    126105: 07/11/14: Kris Vorwerk: Re: Non-volatile FPGA in a small package
125888: 07/11/08: ratemonotonic: P160 Communication Module 3
    125889: 07/11/08: Sean Durkin: Re: P160 Communication Module 3
        125892: 07/11/08: Sean Durkin: Re: P160 Communication Module 3
    125890: 07/11/08: ratemonotonic: Re: P160 Communication Module 3
    125915: 07/11/08: Bryan: Re: P160 Communication Module 3
    125935: 07/11/09: ratemonotonic: Re: P160 Communication Module 3
125891: 07/11/08: Readon: FIFO interface design
    125913: 07/11/08: Dave Pollum: Re: FIFO interface design
        125937: 07/11/09: John Retta: Re: FIFO interface design
        125966: 07/11/10: John Retta: Re: FIFO interface design
        125968: 07/11/10: John_H: Re: FIFO interface design
    125918: 07/11/09: Readon: Re: FIFO interface design
    125919: 07/11/08: Gabor: Re: FIFO interface design
    125958: 07/11/10: Readon: Re: FIFO interface design
    125972: 07/11/10: Marlboro: Re: FIFO interface design
125893: 07/11/08: <jidan1@hotmail.com>: Maximum current drive according to datasheet ?!
    125895: 07/11/08: RCIngham: Re: Maximum current drive according to datasheet ?!
        125903: 07/11/08: austin: Re: Maximum current drive according to datasheet ?!
            125931: 07/11/09: Jim Granville: Re: Maximum current drive according to datasheet ?!
            125936: 07/11/09: John_H: Re: Maximum current drive according to datasheet ?!
        125904: 07/11/08: John_H: Re: Maximum current drive according to datasheet ?!
    125897: 07/11/08: <jidan1@hotmail.com>: Re: Maximum current drive according to datasheet ?!
    125902: 07/11/08: austin: Re: Maximum current drive according to datasheet ?!
    125930: 07/11/08: <jidan1@hotmail.com>: Re: Maximum current drive according to datasheet ?!
125898: 07/11/08: maxascent: Spartan 3E config
    125916: 07/11/08: Bryan: Re: Spartan 3E config
125905: 07/11/08: maxbatley: Spartan 3E Starter Kit DDR RAM
    125922: 07/11/08: Tommy Thorn: Re: Spartan 3E Starter Kit DDR RAM
125917: 07/11/08: Eric Smith: Microblaze PLB vs. OPB busses
    125920: 07/11/08: Jeff Cunningham: Re: Microblaze PLB vs. OPB busses
125925: 07/11/08: <kgll8ss@yahoo.com>: Xilinx Parallel Cable IV, API spec
    125928: 07/11/08: <kgll8ss@yahoo.com>: Re: Xilinx Parallel Cable IV, API spec
    125932: 07/11/09: Antti: Re: Xilinx Parallel Cable IV, API spec
        125959: 07/11/09: Eric Smith: Re: Xilinx Parallel Cable IV, API spec
            125982: 07/11/10: Eric Smith: Re: Xilinx Parallel Cable IV, API spec
    125951: 07/11/09: <kgll8ss@yahoo.com>: Re: Xilinx Parallel Cable IV, API spec
    125955: 07/11/09: <cs_posting@hotmail.com>: Re: Xilinx Parallel Cable IV, API spec
    125963: 07/11/10: Antti: Re: Xilinx Parallel Cable IV, API spec
    125967: 07/11/10: <cs_posting@hotmail.com>: Re: Xilinx Parallel Cable IV, API spec
    125989: 07/11/11: <cs_posting@hotmail.com>: Re: Xilinx Parallel Cable IV, API spec
    125997: 07/11/12: Antti: Re: Xilinx Parallel Cable IV, API spec
125929: 07/11/09: Ju, Jian: MANIK LwIP port
125933: 07/11/09: xenix: is marked OBSOLETE????
    125940: 07/11/09: Jon Beniston: Re: is marked OBSOLETE????
125938: 07/11/09: Philip Potter: EDK 9.2 install problem
    126045: 07/11/13: Philip Potter: Re: EDK 9.2 install problem
        126046: 07/11/13: Andreas Hofmann: Re: EDK 9.2 install problem
125939: 07/11/09: <cs_posting@hotmail.com>: ROM (altsyncram) corruption
    125944: 07/11/09: <MikeShepherd564@btinternet.com>: Re: ROM (altsyncram) corruption
        125949: 07/11/09: Symon: Re: ROM (altsyncram) corruption
            125960: 07/11/09: Eric Smith: Re: ROM (altsyncram) corruption
                125978: 07/11/11: Allan Herriman: Re: ROM (altsyncram) corruption
                    125980: 07/11/11: Allan Herriman: Re: ROM (altsyncram) corruption
    125947: 07/11/09: <cs_posting@hotmail.com>: Re: ROM (altsyncram) corruption
    125950: 07/11/09: Peter Alfke: Re: ROM (altsyncram) corruption
    125954: 07/11/09: <cs_posting@hotmail.com>: Re: ROM (altsyncram) corruption
    125961: 07/11/09: Peter Alfke: Re: ROM (altsyncram) corruption
    125962: 07/11/09: Peter Alfke: Re: ROM (altsyncram) corruption
    125979: 07/11/10: Peter Alfke: Re: ROM (altsyncram) corruption
125943: 07/11/09: ZHI: What the 'c2p' and 'c2o' stand for?
    125945: 07/11/09: John_H: Re: What the 'c2p' and 'c2o' stand for?
125946: 07/11/09: <cpandya@yahoo.com>: Bitslip function in the V5 GTP Transmitter
125952: 07/11/10: ajith.thamara@gmail.com: System ACE generation
125953: 07/11/10: ajith.thamara@gmail.com: SystemACE generation
125957: 07/11/09: <kgll8ss@yahoo.com>: Is "Insight IJC-02" and "Xilinx parallel download cable" the same?
125969: 07/11/10: Amit: newbie to 16v8
    125970: 07/11/10: Jonathan Bromley: Re: newbie to 16v8
    125971: 07/11/10: Amit: Re: newbie to 16v8
    125977: 07/11/10: Peter Alfke: Re: newbie to 16v8
    125981: 07/11/11: Jim Granville: Re: newbie to 16v8
    125987: 07/11/11: David Spencer: Re: newbie to 16v8
        125988: 07/11/12: Jim Granville: Re: newbie to 16v8
        125990: 07/11/11: Nico Coesel: Re: newbie to 16v8
            125992: 07/11/12: David Spencer: Re: newbie to 16v8
                125994: 07/11/12: Jim Granville: Re: newbie to 16v8
                    126002: 07/11/12: David Spencer: Re: newbie to 16v8
            125993: 07/11/12: Jim Granville: Re: newbie to 16v8
    125991: 07/11/11: Peter Alfke: Re: newbie to 16v8
    126016: 07/11/12: Ray Andraka: Re: newbie to 16v8
        126135: 07/11/15: Brian Drummond: Re: newbie to 16v8
            126171: 07/11/16: BobW: Re: newbie to 16v8
        126143: 07/11/15: Ray Andraka: Re: newbie to 16v8
    126022: 07/11/12: General Schvantzkoph: Re: newbie to 16v8
    126124: 07/11/14: Amit: Re: newbie to 16v8
    126449: 07/11/22: Amit: Re: newbie to 16v8
125974: 07/11/10: Joseph H Allen: Re: Embedded Linux & Code Security
125975: 07/11/10: Duane Clark: Xilinx USB cable in Fedora 7
    125983: 07/11/11: svenand: Re: Xilinx USB cable in Fedora 7
125995: 07/11/11: m: Programming connection
    125996: 07/11/11: m: Re: Programming connection
    125998: 07/11/12: Petter Gustad: Re: Programming connection
        126001: 07/11/12: Nial Stewart: Re: Programming connection
            126006: 07/11/12: Petter Gustad: Re: Programming connection
    126008: 07/11/12: m: Re: Programming connection
    126020: 07/11/12: John_H: Re: Programming connection
    126025: 07/11/12: m: Re: Programming connection
    126027: 07/11/12: Gabor: Re: Programming connection
125999: 07/11/12: Pasacco: [EDK tool] simulation setup
    126024: 07/11/12: Mike Treseler: Re: [EDK tool] simulation setup
126000: 07/11/12: Pasacco: EDK 8.2 tool : simulator set up
    126015: 07/11/12: John McCaskill: Re: EDK 8.2 tool : simulator set up
    126060: 07/11/13: Pasacco: Re: EDK 8.2 tool : simulator set up
126003: 07/11/12: Sascha Frank: Strange VHDL Error
    126004: 07/11/12: Sascha Frank: Re: Strange VHDL Error
    126005: 07/11/12: Dave: Re: Strange VHDL Error
        126007: 07/11/12: Sascha Frank: Re: Strange VHDL Error
            126012: 07/11/12: HT-Lab: Re: Strange VHDL Error
                126023: 07/11/12: Duane Clark: Re: Strange VHDL Error
126009: 07/11/12: Andrew Greensted: Spartan3E Slave Serial Daisy chain
    126013: 07/11/12: Gabor: Re: Spartan3E Slave Serial Daisy chain
        126014: 07/11/12: Andrew Greensted: Re: Spartan3E Slave Serial Daisy chain
    126021: 07/11/12: John_H: Re: Spartan3E Slave Serial Daisy chain
    126036: 07/11/13: Andrew Greensted: Re: Spartan3E Slave Serial Daisy chain
126010: 07/11/12: austin: Students: where to go for help
    126017: 07/11/12: Philip Potter: Re: Students: where to go for help
        126019: 07/11/12: austin: Re: Students: where to go for help
            126037: 07/11/13: Philip Potter: Re: Students: where to go for help
                126058: 07/11/13: Symon: Re: Students: where to go for help
126011: 07/11/12: bhb: DDR in spartan 3E
126018: 07/11/12: <jetmarc@hotmail.com>: Re: Embedded Linux & Code Security
126029: 07/11/13: RaKa: Asynchronous FIFO Latency.
    126030: 07/11/12: Peter Alfke: Re: Asynchronous FIFO Latency.
126031: 07/11/12: fazulu deen: bidirectional in fpga
    126033: 07/11/13: mh: Re: bidirectional in fpga
        126041: 07/11/13: <MikeShepherd564@btinternet.com>: Re: bidirectional in fpga
    126034: 07/11/13: Matthew Hicks: Re: bidirectional in fpga
        126042: 07/11/13: commone: Re: bidirectional in fpga
    126035: 07/11/12: fazulu deen: Re: bidirectional in fpga
    126049: 07/11/13: Andy: Re: bidirectional in fpga
126032: 07/11/13: dilip: implementing MAC protocols on fpga
    126047: 07/11/13: Stef: Re: implementing MAC protocols on fpga
    126053: 07/11/13: dilip: Re: implementing MAC protocols on fpga
    126054: 07/11/13: EEngineer: Re: implementing MAC protocols on fpga
126038: 07/11/13: Nial Stewart: Structured way of changing eg time constants for real world build / simulation?
    126039: 07/11/13: Hal Murray: Re: Structured way of changing eg time constants for real world build / simulation?
        126040: 07/11/13: Nial Stewart: Re: Structured way of changing eg time constants for real world build / simulation?
    126044: 07/11/13: Brian Drummond: Re: Structured way of changing eg time constants for real world build / simulation?
        126051: 07/11/13: Nial Stewart: Re: Structured way of changing eg time constants for real world build / simulation?
            126172: 07/11/16: Andreas Ehliar: Re: Structured way of changing eg time constants for real world build / simulation?
    126048: 07/11/13: Andy: Re: Structured way of changing eg time constants for real world build / simulation?
    126055: 07/11/13: John Adair: Re: Structured way of changing eg time constants for real world build / simulation?
    126057: 07/11/13: Andy: Re: Structured way of changing eg time constants for real world build / simulation?
126043: 07/11/13: xenix: how to make ports visible?
    126062: 07/11/13: roger: Re: how to make ports visible?
126050: 07/11/13: Gabor: Re: Spartan3E Slave Serial Daisy chain
    126052: 07/11/13: Andrew Greensted: Re: Spartan3E Slave Serial Daisy chain
    126056: 07/11/13: John_H: Re: Spartan3E Slave Serial Daisy chain
126059: 07/11/13: Pasacco: [EDK simulation] synopsys translate_off
    126117: 07/11/14: beeraka@gmail.com: Re: synopsys translate_off
    126150: 07/11/15: Pasacco: Re: synopsys translate_off
        126153: 07/11/15: Colin Paul Gloster: Re: synopsys translate_off
            126158: 07/11/15: Duane Clark: Re: synopsys translate_off
    126154: 07/11/15: Pasacco: Re: synopsys translate_off
    126156: 07/11/15: Pasacco: Re: synopsys translate_off
    126169: 07/11/15: beeraka@gmail.com: Re: synopsys translate_off
    126175: 07/11/16: Pasacco: Re: synopsys translate_off
126061: 07/11/13: <biker@wavenet.at>: Chipscope Server for PowerPC?
126063: 07/11/13: <posedge52@yahoo.com>: Synthesis-place&route performance test.
    126065: 07/11/14: Jan Pech: Re: Synthesis-place&route performance test.
    126068: 07/11/14: Andreas Hofmann: Re: Synthesis-place&route performance test.
126064: 07/11/13: <JimboD2@gmail.com>: REFCLK signal in Hard TEMAC core
126066: 07/11/14: Heinrich Burgsteiner: VCD Files Viewer?
    126067: 07/11/14: Jan Pech: Re: VCD Files Viewer?
        126069: 07/11/14: Heinrich Burgsteiner: Re: VCD Files Viewer?
    126071: 07/11/14: Guenter Dannoritzer: Re: VCD Files Viewer?
126070: 07/11/14: Heinrich Burgsteiner: grouping bits to form bus in VCD file
126072: 07/11/14: Amal: Xilinx Encrypted bit file
    126078: 07/11/14: austin: Re: Xilinx Encrypted bit file
        126090: 07/11/14: Symon: Re: Xilinx Encrypted bit file
            126093: 07/11/14: austin: Re: Xilinx Encrypted bit file
                126103: 07/11/14: Symon: Re: Xilinx Encrypted bit file
                    126104: 07/11/14: austin: Re: Xilinx Encrypted bit file
                126131: 07/11/15: Matthieu: Re: Xilinx Encrypted bit file
126073: 07/11/14: Herbert Kleebauer: FPGA for hobby use
    126074: 07/11/14: <cs_posting@hotmail.com>: Re: FPGA for hobby use
        126075: 07/11/14: Guenter Dannoritzer: Re: FPGA for hobby use
        126077: 07/11/14: <MikeShepherd564@btinternet.com>: Re: FPGA for hobby use
            126089: 07/11/14: Symon: Re: FPGA for hobby use
                126099: 07/11/14: Symon: Re: FPGA for hobby use
                    126101: 07/11/14: Mike Treseler: Re: FPGA for hobby use
                    126102: 07/11/14: Symon: Re: FPGA for hobby use
                126460: 07/11/23: Nial Stewart: Re: FPGA for hobby use
            126095: 07/11/14: Ray Andraka: Re: FPGA for hobby use
            126114: 07/11/14: <MikeShepherd564@btinternet.com>: Re: FPGA for hobby use
            126127: 07/11/15: Alex Colvin: Re: FPGA for hobby use
                126130: 07/11/15: Joseph H Allen: Re: FPGA for hobby use
        126132: 07/11/15: Herbert Kleebauer: Re: FPGA for hobby use
        126226: 07/11/17: Andrew Burnside: Re: FPGA for hobby use
    126076: 07/11/14: John Adair: Re: FPGA for hobby use
    126084: 07/11/14: <cs_posting@hotmail.com>: Re: FPGA for hobby use
    126091: 07/11/15: Jim Granville: Re: FPGA for hobby use
        126133: 07/11/15: Herbert Kleebauer: Re: FPGA for hobby use
            126195: 07/11/16: Ray Andraka: Re: FPGA for hobby use
                126208: 07/11/16: Jonathan Bromley: Re: FPGA for hobby use
                126212: 07/11/16: Ray Andraka: Re: FPGA for hobby use
        126162: 07/11/15: Andy: Re: FPGA for hobby use
        126206: 07/11/16: Dave: Re: FPGA for hobby use
    126092: 07/11/14: Mike Treseler: Re: FPGA for hobby use
    126097: 07/11/14: <cs_posting@hotmail.com>: Re: FPGA for hobby use
    126098: 07/11/14: <cs_posting@hotmail.com>: Re: FPGA for hobby use
    126100: 07/11/14: <cs_posting@hotmail.com>: Re: FPGA for hobby use
    126137: 07/11/15: Brian Drummond: Re: FPGA for hobby use
        126142: 07/11/15: Ray Andraka: Re: FPGA for hobby use
            126179: 07/11/16: Brian Drummond: Re: FPGA for hobby use
                126196: 07/11/16: Ray Andraka: Re: FPGA for hobby use
    126211: 07/11/16: Nico Coesel: Re: FPGA for hobby use
        126215: 07/11/17: Joseph H Allen: Re: FPGA for hobby use
126079: 07/11/14: Andrew Ganger: Xilinx Virtex-II Newbie
    126080: 07/11/14: Andrew Ganger: Re: Xilinx Virtex-II Newbie
        126083: 07/11/14: Andrew Ganger: Re: Xilinx Virtex-II Newbie
            126108: 07/11/14: Andrew Ganger: Re: Xilinx Virtex-II Newbie
                126138: 07/11/15: Brian Drummond: Re: Xilinx Virtex-II Newbie
                    126141: 07/11/15: Andrew Ganger: Re: Xilinx Virtex-II Newbie
                        126180: 07/11/16: Brian Drummond: Re: Xilinx Virtex-II Newbie
            126121: 07/11/15: Jim Granville: Re: Xilinx Virtex-II Newbie
                126140: 07/11/15: Andrew Ganger: Re: Xilinx Virtex-II Newbie
                    126152: 07/11/16: Jim Granville: Re: Xilinx Virtex-II Newbie
            126129: 07/11/15: Joseph H Allen: Re: Xilinx Virtex-II Newbie
        126136: 07/11/15: Andreas Ehliar: Re: Xilinx Virtex-II Newbie
            126145: 07/11/15: Andrew Ganger: Re: Xilinx Virtex-II Newbie
                126181: 07/11/16: Brian Drummond: Re: Xilinx Virtex-II Newbie
        126144: 07/11/15: EEngineer: Re: Xilinx Virtex-II Newbie
        126464: 07/11/23: Andreas Ehliar: Re: Xilinx Virtex-II Newbie
    126109: 07/11/14: Andrew Ganger: Re: Xilinx Virtex-II Newbie
        126119: 07/11/15: Andrew Ganger: Re: Xilinx Virtex-II Newbie
            126128: 07/11/15: Jim Granville: Re: Xilinx Virtex-II Newbie
                126139: 07/11/15: Andrew Ganger: Re: Xilinx Virtex-II Newbie
        126120: 07/11/15: Andrew Ganger: Re: Xilinx Virtex-II Newbie
        126125: 07/11/15: Jim Granville: Re: Xilinx Virtex-II Newbie
    126113: 07/11/14: EEngineer: Re: Xilinx Virtex-II Newbie
        126122: 07/11/14: EEngineer: Re: Xilinx Virtex-II Newbie
        126123: 07/11/14: EEngineer: Re: Xilinx Virtex-II Newbie
126081: 07/11/14: Peter Klemperer: Xilinx ISE Timing Report Question
    126085: 07/11/14: Jochen: Re: Xilinx ISE Timing Report Question
    126088: 07/11/14: Peter Klemperer: Re: Xilinx ISE Timing Report Question
126082: 07/11/14: Jan Pech: USR_ACCESS_VIRTEX4 usage
    126173: 07/11/16: Antti: Re: USR_ACCESS_VIRTEX4 usage
    126184: 07/11/16: Jan Pech: Re: USR_ACCESS_VIRTEX4 usage
126086: 07/11/14: Nathan Bialke: Re: Xilinx Virtex-II Newbie
126094: 07/11/14: zlotawy: Block-ram FIFO in Xilinx
    126106: 07/11/14: Peter Alfke: Re: Block-ram FIFO in Xilinx
        126134: 07/11/15: zlotawy: Re: Block-ram FIFO in Xilinx
            126187: 07/11/16: zlotawy: Re: Block-ram FIFO in Xilinx
                126194: 07/11/16: Ray Andraka: Re: Block-ram FIFO in Xilinx
                    126229: 07/11/17: zlotawy: Re: Block-ram FIFO in Xilinx
        126163: 07/11/15: Peter Alfke: Re: Block-ram FIFO in Xilinx
        126197: 07/11/16: Peter Alfke: Re: Block-ram FIFO in Xilinx
    126107: 07/11/14: <ghelbig@lycos.com>: Re: Block-ram FIFO in Xilinx
    126110: 07/11/14: Peter Alfke: Re: Block-ram FIFO in Xilinx
126096: 07/11/14: John Adair: Re: FPGA for hobby use
126115: 07/11/14: Nathan Bialke: Re: Xilinx Virtex-II Newbie
126116: 07/11/14: Nathan Bialke: Re: Xilinx Virtex-II Newbie
126118: 07/11/14: Peter Alfke: Re: Xilinx Virtex-II Newbie
126126: 07/11/14: Andrew FPGA: Xilinx Chipscope Pro in EDK system - ILA:how specify separate signals
    126159: 07/11/15: roger: Re: Xilinx Chipscope Pro in EDK system - ILA:how specify separate
    126164: 07/11/15: Andrew FPGA: Re: Xilinx Chipscope Pro in EDK system - ILA:how specify separate
126146: 07/11/15: Matthew Hicks: EDK 9.1 Issues
    126147: 07/11/15: Philip Potter: Re: EDK 9.1 Issues
        126151: 07/11/15: Matthew Hicks: Re: EDK 9.1 Issues
    126155: 07/11/15: Daniel Koethe: Re: EDK 9.1 Issues
        126160: 07/11/15: Matthew Hicks: Re: EDK 9.1 Issues
    126157: 07/11/15: Duane Clark: Re: EDK 9.1 Issues
        126161: 07/11/15: Matthew Hicks: Re: EDK 9.1 Issues
126148: 07/11/15: <JimboD2@gmail.com>: V4FX: Cannot access EMAC1 of Dual MAC system
    126149: 07/11/15: <JimboD2@gmail.com>: Re: V4FX: Cannot access EMAC1 of Dual MAC system
    126165: 07/11/15: Ben Jackson: Re: V4FX: Cannot access EMAC1 of Dual MAC system
        126188: 07/11/16: Ben Jackson: Re: V4FX: Cannot access EMAC1 of Dual MAC system
    126178: 07/11/16: <JimboD2@gmail.com>: Re: V4FX: Cannot access EMAC1 of Dual MAC system
    126203: 07/11/16: <JimboD2@gmail.com>: Re: V4FX: Cannot access EMAC1 of Dual MAC system
    126209: 07/11/16: <JimboD2@gmail.com>: Re: V4FX: Cannot access EMAC1 of Dual MAC system
126166: 07/11/15: Colin Hankins: Lattice Semi
    126167: 07/11/15: John_H: Re: Lattice Semi
    126170: 07/11/16: <lb.edc@telenet.be>: Re: Lattice Semi
    126177: 07/11/16: Jon Beniston: Re: Lattice Semi
    126182: 07/11/16: austin: Low cost FPGA w/serdes
        126221: 07/11/17: <lb.edc@telenet.be>: Re: Low cost FPGA w/serdes
            126230: 07/11/17: austin: Re: Low cost FPGA w/serdes
                126250: 07/11/18: MK: Re: Low cost FPGA w/serdes
                    126255: 07/11/18: austin: Re: Low cost FPGA w/serdes
                        126256: 07/11/18: <MikeShepherd564@btinternet.com>: Re: Low cost FPGA w/serdes
                            126266: 07/11/18: austin: Re: Low cost FPGA w/serdes
                                126290: 07/11/19: Will Dean: Re: Low cost FPGA w/serdes
                                    126300: 07/11/19: Joseph H Allen: Re: Low cost FPGA w/serdes
                                    126301: 07/11/19: austin: Re: Low cost FPGA w/serdes
                                        126307: 07/11/19: Jonathan Bromley: Re: Low cost FPGA w/serdes
                                            126308: 07/11/19: austin: Re: Low cost FPGA w/serdes
                                                126310: 07/11/19: Jonathan Bromley: Re: Low cost FPGA w/serdes
                                                    126342: 07/11/20: <lb.edc@telenet.be>: Re: Low cost FPGA w/serdes
                                                        126369: 07/11/20: austin: Re: Low cost FPGA w/serdes
                            126907: 07/12/05: <MikeShepherd564@btinternet.com>: Re: Low cost FPGA w/serdes
        126891: 07/12/05: <dowers.irl@gmail.com>: Re: Low cost FPGA w/serdes
        126925: 07/12/06: <dowers.irl@gmail.com>: Re: Low cost FPGA w/serdes
    126254: 07/11/18: Joseph H Allen: Re: Lattice Semi
        126287: 07/11/19: John_H: Re: Lattice Semi
126168: 07/11/15: cpope: TI DSP soft core in Xilinx?
    126183: 07/11/16: David Spencer: Re: TI DSP soft core in Xilinx?
        126186: 07/11/16: cpope: Re: TI DSP soft core in Xilinx?
        126191: 07/11/16: Guenter Dannoritzer: Re: TI DSP soft core in Xilinx?
        126207: 07/11/16: austin: Re: TI DSP soft core in Xilinx?
            126217: 07/11/17: cpope: Re: TI DSP soft core in Xilinx?
126174: 07/11/16: Toni Merwec: jitter-sensitive multi-output clk distribution for multi-gigabit-transceivers
    126176: 07/11/16: Philip Herzog: Re: jitter-sensitive multi-output clk distribution for multi-gigabit-transceivers
    126190: 07/11/16: <bill.sloman@ieee.org>: Re: jitter-sensitive multi-output clk distribution for
    126199: 07/11/16: Symon: Re: jitter-sensitive multi-output clk distribution for multi-gigabit-transceivers
    126201: 07/11/16: Patrick Dubois: Re: jitter-sensitive multi-output clk distribution for
126185: 07/11/16: u_stadler@yahoo.de: simulating xilinx block ram with modelsim
    126189: 07/11/16: Duane Clark: Re: simulating xilinx block ram with modelsim
        126200: 07/11/16: Andrew Ganger: Re: simulating xilinx block ram with modelsim
            126202: 07/11/16: Duane Clark: Re: simulating xilinx block ram with modelsim
        126231: 07/11/17: Duane Clark: Re: simulating xilinx block ram with modelsim
    126204: 07/11/16: Mike Treseler: Re: simulating xilinx block ram with modelsim
        126236: 07/11/17: John Retta: Re: simulating xilinx block ram with modelsim
            126283: 07/11/19: Brian Drummond: Re: simulating xilinx block ram with modelsim
                126366: 07/11/20: Brian Drummond: Re: simulating xilinx block ram with modelsim
                    126401: 07/11/21: Brian Drummond: Re: simulating xilinx block ram with modelsim
            126332: 07/11/19: Duane Clark: Re: simulating xilinx block ram with modelsim
    126205: 07/11/16: Peter Alfke: Re: simulating xilinx block ram with modelsim
    126210: 07/11/16: u_stadler@yahoo.de: Re: simulating xilinx block ram with modelsim
    126220: 07/11/16: u_stadler@yahoo.de: Re: simulating xilinx block ram with modelsim
    126258: 07/11/18: u_stadler@yahoo.de: Re: simulating xilinx block ram with modelsim
    126304: 07/11/19: u_stadler@yahoo.de: Re: simulating xilinx block ram with modelsim
    126367: 07/11/20: u_stadler@yahoo.de: Re: simulating xilinx block ram with modelsim
126192: 07/11/16: psihodelia@googlemail.com: VHDL language is out of date! Why? I will explain.
    126193: 07/11/16: KJ: Re: VHDL language is out of date! Why? I will explain.
    126198: 07/11/16: <cs_posting@hotmail.com>: Re: VHDL language is out of date! Why? I will explain.
    126222: 07/11/17: HT-Lab: Re: VHDL language is out of date! Why? I will explain.
        126234: 07/11/17: Jan Decaluwe: Re: VHDL language is out of date! Why? I will explain.
            126263: 07/11/18: Jan Decaluwe: Re: VHDL language is out of date! Why? I will explain.
                126267: 07/11/18: mk: Re: VHDL language is out of date! Why? I will explain.
            126288: 07/11/19: RCIngham: Re: VHDL language is out of date! Why? I will explain.
            126473: 07/11/23: Nico Coesel: Re: VHDL language is out of date! Why? I will explain.
    126223: 07/11/17: Thomas Rouam: Re: VHDL language is out of date! Why? I will explain.
    126224: 07/11/17: Colin Paul Gloster: Re: VHDL language is out of date! Why? I will explain.
    126232: 07/11/17: Mike Treseler: Re: VHDL language is out of date! Why? I will explain.
        126233: 07/11/17: Filip Miletic: Re: VHDL language is out of date! Why? I will explain.
            126418: 07/11/21: RCIngham: Re: VHDL language is out of date! Why? I will explain.
            126466: 07/11/23: Mike Treseler: Re: VHDL language is still quite useful.
    126251: 07/11/18: psihodelia@googlemail.com: Re: VHDL language is out of date! Why? I will explain.
    126253: 07/11/18: Paul Taylor: Re: VHDL language is out of date! Why? I will explain.
    126265: 07/11/18: psihodelia@googlemail.com: Re: VHDL language is out of date! Why? I will explain.
    126294: 07/11/19: xenix: Re: VHDL language is out of date! Why? I will explain.
    126410: 07/11/21: Colin Paul Gloster: Re: VHDL language is out of date! Why? I will explain.
    126423: 07/11/21: Paul Taylor: Re: VHDL language is out of date! Why? I will explain.
        126452: 07/11/22: Mike Treseler: Re: VHDL language is out of date! Why? I will explain.
        126496: 07/11/25: Jan Decaluwe: Re: VHDL language is out of date! Why? I will explain.
            126504: 07/11/25: Nico Coesel: Re: VHDL language is out of date! Why? I will explain.
                126505: 07/11/25: <MikeShepherd564@btinternet.com>: Re: VHDL language is out of date! Why? I will explain.
                126508: 07/11/26: Jan Decaluwe: Re: VHDL language is out of date! Why? I will explain.
            126510: 07/11/26: Wolfgang Grafen: Re: VHDL language is out of date! Why? I will explain.
                126587: 07/11/28: Jan Decaluwe: Re: VHDL language is out of date! Why? I will explain.
                    126605: 07/11/28: Wolfgang Grafen: Re: VHDL language is out of date! Why? I will explain.
            126567: 07/11/27: Mike Treseler: Re: VHDL language is out of date! Why? I will explain.
            126576: 07/11/28: Jim Granville: Re: VHDL language is out of date! Why? I will explain.
                126607: 07/11/28: Wolfgang Grafen: Re: VHDL language is out of date! Why? I will explain.
                    127228: 07/12/14: Mike Treseler: Re: VHDL language/MyHDL
                        127234: 07/12/15: <MikeShepherd564@btinternet.com>: Re: VHDL language/MyHDL
                    127232: 07/12/14: Jan Decaluwe: Re: VHDL language is out of date! Why? I will explain.
                    127233: 07/12/15: Jan Decaluwe: Re: VHDL language is out of date! Why? I will explain.
    126456: 07/11/23: Helmut: Re: VHDL language is out of date! Why? I will explain.
    126478: 07/11/24: Paul Taylor: Re: VHDL language is out of date! Why? I will explain.
    126489: 07/11/24: <reiner@hartenstein.de>: Re: VHDL language is out of date! Why? I will explain.
126213: 07/11/16: rickman: New Laptop for work
    126214: 07/11/16: evilkidder@googlemail.com: Re: New Laptop for work
    126225: 07/11/17: HT-Lab: Re: New Laptop for work
        126285: 07/11/19: HT-Lab: Re: New Laptop for work
            126355: 07/11/20: Andreas Hofmann: Re: New Laptop for work
    126277: 07/11/19: Guru: Re: New Laptop for work
    126284: 07/11/19: Marc Randolph: Re: New Laptop for work
    126286: 07/11/19: rickman: Re: New Laptop for work
    126384: 07/11/20: General Schvantzkoph: Re: New Laptop for work
    126393: 07/11/20: rickman: Re: New Laptop for work
    126394: 07/11/20: rickman: Re: New Laptop for work
126216: 07/11/16: subbu: gate count calculation in xilinx.
126218: 07/11/16: subbu: Gate count calculation in xilinx.
    126219: 07/11/16: Peter Alfke: Re: Gate count calculation in xilinx.
126227: 07/11/17: Pasacco: how to KEEP_HIERARCHY [EDK]
    126278: 07/11/19: Andreas Hofmann: Re: how to KEEP_HIERARCHY [EDK]
126228: 07/11/17: Didi: Coolrunner in system programming - XAPP0058 - viable?
    126237: 07/11/18: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
        126242: 07/11/18: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
            126262: 07/11/19: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                126270: 07/11/19: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                    126275: 07/11/19: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                        126281: 07/11/19: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
    126238: 07/11/17: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
        126322: 07/11/19: Antonio Pasini: Re: Coolrunner in system programming - XAPP0058 - viable?
            126325: 07/11/20: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                126339: 07/11/20: Antonio Pasini: Re: Coolrunner in system programming - XAPP0058 - viable?
            126338: 07/11/20: Antonio Pasini: Re: Coolrunner in system programming - XAPP0058 - viable?
                126346: 07/11/20: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                    126385: 07/11/21: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                        126388: 07/11/21: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                    126392: 07/11/20: Eric Smith: Re: Coolrunner in system programming - XAPP0058 - viable?
    126239: 07/11/17: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126249: 07/11/18: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126268: 07/11/18: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126272: 07/11/18: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126280: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126282: 07/11/19: colin: Re: Coolrunner in system programming - XAPP0058 - viable?
        126311: 07/11/20: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
            126319: 07/11/20: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                126324: 07/11/20: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                    126333: 07/11/20: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                    126335: 07/11/19: Hal Murray: Re: Coolrunner in system programming - XAPP0058 - viable?
                        126336: 07/11/20: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                    126387: 07/11/21: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
                126330: 07/11/20: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
            126321: 07/11/20: Jim Granville: Re: Coolrunner in system programming - XAPP0058 - viable?
    126297: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126315: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126316: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126318: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126320: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126323: 07/11/19: rickman: Re: Coolrunner in system programming - XAPP0058 - viable?
    126326: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126327: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126328: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126329: 07/11/19: Peter Alfke: Re: Coolrunner in system programming - XAPP0058 - viable?
    126331: 07/11/19: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126337: 07/11/19: rickman: Re: Coolrunner in system programming - XAPP0058 - viable?
    126362: 07/11/20: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126364: 07/11/20: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126365: 07/11/20: Alex: Re: Coolrunner in system programming - XAPP0058 - viable?
    126370: 07/11/20: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126375: 07/11/20: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126386: 07/11/20: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126390: 07/11/20: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
    126397: 07/11/21: Didi: Re: Coolrunner in system programming - XAPP0058 - viable?
126235: 07/11/17: Tommy Thorn: Quartus II warning: "pass-through logic has been added"
    126240: 07/11/17: KJ: Re: Quartus II warning: "pass-through logic has been added"
        126241: 07/11/17: Hal Murray: Re: Quartus II warning: "pass-through logic has been added"
            126243: 07/11/18: KJ: Re: Quartus II warning: "pass-through logic has been added"
                126246: 07/11/17: Hal Murray: Re: Quartus II warning: "pass-through logic has been added"
                    126261: 07/11/18: KJ: Re: Quartus II warning: "pass-through logic has been added"
                        126264: 07/11/18: mk: Re: Quartus II warning: "pass-through logic has been added"
                126247: 07/11/18: <MikeShepherd564@btinternet.com>: Re: Quartus II warning: "pass-through logic has been added"
                126260: 07/11/18: KJ: Re: Quartus II warning: "pass-through logic has been added"
    126244: 07/11/17: rickman: Re: Quartus II warning: "pass-through logic has been added"
    126276: 07/11/18: Tommy Thorn: Re: Quartus II warning: "pass-through logic has been added"
    126279: 07/11/19: glen herrmannsfeldt: Re: Quartus II warning: "pass-through logic has been added"
    126292: 07/11/19: Mike Treseler: Re: Quartus II warning: "pass-through logic has been added"
126245: 07/11/17: radarman: Altera webpack for Linux?
    126257: 07/11/18: Dave Pollum: Re: Altera webpack for Linux?
    126271: 07/11/19: Uwe Bonnes: Re: Altera webpack for Linux?
    126306: 07/11/19: radarman: Re: Altera webpack for Linux?
126248: 07/11/18: <hershkoy@gmail.com>: synthesizing vqm with parameters with quartus 7.1sp1
    126259: 07/11/18: KJ: Re: synthesizing vqm with parameters with quartus 7.1sp1
126252: 07/11/18: Udo: Xilinx WebPack 9.2i: Download not possible, wrong links
126269: 07/11/18: mk: GTKWave 3.1.1 for win32
    128524: 08/01/30: mk: GTKWave 3.1.3 for win32
        128553: 08/01/30: <bybell@gmail.com>: Re: GTKWave 3.1.3 for win32
        128627: 08/01/31: bybell: Re: GTKWave 3.1.4 for win32/linux
126273: 07/11/18: Bathala: mb-g++ linker script problem 8.2i
    126274: 07/11/18: Alan Nishioka: Re: mb-g++ linker script problem 8.2i
126289: 07/11/19: Harald: Update to Xilinx ISE 9.2
    126293: 07/11/19: Dave: Re: Update to Xilinx ISE 9.2
        126295: 07/11/19: Harald: Re: Update to Xilinx ISE 9.2
            126305: 07/11/19: Harald: Re: Update to Xilinx ISE 9.2
                126347: 07/11/20: Andreas Hofmann: Re: Update to Xilinx ISE 9.2
        126303: 07/11/19: <ghelbig@lycos.com>: Re: Update to Xilinx ISE 9.2
126291: 07/11/19: xenix: Microblaze books
    126302: 07/11/19: <ghelbig@lycos.com>: Re: Microblaze books
        126334: 07/11/19: Jeff Cunningham: Re: Microblaze books
    126340: 07/11/19: svenand: Re: Microblaze books
126296: 07/11/19: Andrew Greensted: TPS75003 Spartan-3(E) Regulator Design
    126298: 07/11/19: Andrew Greensted: Re: TPS75003 Spartan-3(E) Regulator Design
    126299: 07/11/19: Andrew Greensted: Re: TPS75003 Spartan-3(E) Regulator Design
    126313: 07/11/19: John Adair: Re: TPS75003 Spartan-3(E) Regulator Design
        126317: 07/11/19: Symon: Re: TPS75003 Spartan-3(E) Regulator Design
126309: 07/11/19: Kappa (at dot): Parallel to Serial ASI ...
    126312: 07/11/19: austin: Re: Parallel to Serial ASI ...
        126314: 07/11/19: Kappa (at dot): Re: Parallel to Serial ASI ...
126341: 07/11/20: Matthew Hicks: 33+ Regs in PLB IPIF
    126356: 07/11/20: Joseph Samson: Re: 33+ Regs in PLB IPIF
        126381: 07/11/20: Matthew Hicks: Re: 33+ Regs in PLB IPIF
            126577: 07/11/27: Jason Agron: Re: 33+ Regs in PLB IPIF
                126584: 07/11/28: Matthew Hicks: Re: 33+ Regs in PLB IPIF
126343: 07/11/20: techG: problem with adding custom logic to an IP core (xilinx edk)
    126344: 07/11/20: Andrew Greensted: Re: problem with adding custom logic to an IP core (xilinx edk)
    126357: 07/11/20: Jeff Cunningham: Re: problem with adding custom logic to an IP core (xilinx edk)
    126380: 07/11/20: Matthew Hicks: Re: problem with adding custom logic to an IP core (xilinx edk)
126345: 07/11/20: Andreas Wassatsch: EDK 9.2 and virtex 2 devices
    126348: 07/11/20: Harald: Re: EDK 9.2 and virtex 2 devices
        126349: 07/11/20: Andreas Wassatsch: Re: EDK 9.2 and virtex 2 devices
            126350: 07/11/20: Harald: Re: EDK 9.2 and virtex 2 devices
                126351: 07/11/20: Philip Potter: Re: EDK 9.2 and virtex 2 devices
                    126352: 07/11/20: Harald: Re: EDK 9.2 and virtex 2 devices
                        126354: 07/11/20: Andreas Wassatsch: Re: EDK 9.2 and virtex 2 devices
                            126358: 07/11/20: Harald: Re: EDK 9.2 and virtex 2 devices
                                126361: 07/11/20: Andreas Wassatsch: Re: EDK 9.2 and virtex 2 devices
                                    126363: 07/11/20: Harald: Re: EDK 9.2 and virtex 2 devices
                            126359: 07/11/20: Harald: Re: EDK 9.2 and virtex 2 devices
                                126360: 07/11/20: Philip Potter: Re: EDK 9.2 and virtex 2 devices
                    126353: 07/11/20: Andreas Wassatsch: Re: EDK 9.2 and virtex 2 devices
126368: 07/11/20: Philipp: Virtex5 Evaluation Board
    126371: 07/11/20: austin: Re: Virtex5 Evaluation Board
        126373: 07/11/20: Philipp: Re: Virtex5 Evaluation Board
        126374: 07/11/20: John Adair: Re: Virtex5 Evaluation Board
        126376: 07/11/20: John Adair: Re: Virtex5 Evaluation Board
126372: 07/11/20: Barry: Xilinx Virtex 5 ISERDES vs ISERDES_NODELAY: which is better for DDR?
126377: 07/11/20: Philipp: Why doesnt XST RAM for this VHDL description
    126378: 07/11/20: mk: Re: Why doesnt XST RAM for this VHDL description
        126379: 07/11/20: Philipp: Re: Why doesnt XST RAM for this VHDL description
            126382: 07/11/20: Philipp: Re: Why doesnt XST RAM for this VHDL description
126383: 07/11/20: Jan Pech: FPGA Editor (9.2.03i) under Linux x86_64
    126389: 07/11/20: <steve.lass@xilinx.com>: Re: FPGA Editor (9.2.03i) under Linux x86_64
        126399: 07/11/21: Uwe Bonnes: Re: FPGA Editor (9.2.03i) under Linux x86_64
        126434: 07/11/22: Andrew Greensted: Re: FPGA Editor (9.2.03i) under Linux x86_64
        126519: 07/11/26: <steve.lass@xilinx.com>: Re: FPGA Editor (9.2.03i) under Linux x86_64
    126391: 07/11/20: <krishnans@hotmail.com>: Re: FPGA Editor (9.2.03i) under Linux x86_64
    126406: 07/11/21: rickman: Re: FPGA Editor (9.2.03i) under Linux x86_64
    126617: 07/11/28: rickman: Re: FPGA Editor (9.2.03i) under Linux x86_64
    126631: 07/11/28: rickman: Re: FPGA Editor (9.2.03i) under Linux x86_64
126395: 07/11/20: <spygame81@gmail.com>: An error occured while using Dual Port Block Memory
    126404: 07/11/21: Joseph Samson: Re: An error occured while using Dual Port Block Memory
    126648: 07/11/28: RAI: Re: An error occured while using Dual Port Block Memory
126396: 07/11/21: G_Abg: partial dynamic reconfiguration on Virtex-4 SX35
    126405: 07/11/21: rickman: Re: partial dynamic reconfiguration on Virtex-4 SX35
    126414: 07/11/21: austin: Re: partial dynamic reconfiguration on Virtex-4 SX35
    126415: 07/11/21: G_Abg: Re: partial dynamic reconfiguration on Virtex-4 SX35
    126416: 07/11/21: G_Abg: Re: partial dynamic reconfiguration on Virtex-4 SX35
    126419: 07/11/21: rickman: Re: partial dynamic reconfiguration on Virtex-4 SX35
    126432: 07/11/22: mh: Re: partial dynamic reconfiguration on Virtex-4 SX35
126398: 07/11/21: Timo Gerber: Xilinx XST 8.2, Error on multi-source, bug?
    126400: 07/11/21: John McCaskill: Re: Xilinx XST 8.2, Error on multi-source, bug?
        126407: 07/11/21: Gabor: Re: Xilinx XST 8.2, Error on multi-source, bug?
    126425: 07/11/21: Brian Drummond: Re: Xilinx XST 8.2, Error on multi-source, bug?
    126430: 07/11/22: Timo Gerber: Re: Xilinx XST 8.2, Error on multi-source, bug?
126402: 07/11/21: Ved: Measuring setup and hold time in Lab
    126411: 07/11/21: <MikeShepherd564@btinternet.com>: Re: Measuring setup and hold time in Lab
    126412: 07/11/21: John_H: Re: Measuring setup and hold time in Lab
    126413: 07/11/21: David Spencer: Re: Measuring setup and hold time in Lab
    126420: 07/11/22: Jim Granville: Re: Measuring setup and hold time in Lab
        126421: 07/11/21: David Spencer: Re: Measuring setup and hold time in Lab
            126422: 07/11/22: Jim Granville: Re: Measuring setup and hold time in Lab
    126494: 07/11/25: glen herrmannsfeldt: Re: Measuring setup and hold time in Lab
        126522: 07/11/26: Mike Lewis: Re: Measuring setup and hold time in Lab
    126501: 07/11/25: Peter Alfke: Re: Measuring setup and hold time in Lab
126417: 07/11/21: Pasacco: EDK + Modelsim simulation : Memory allocation failure
    126424: 07/11/21: John McCaskill: Re: EDK + Modelsim simulation : Memory allocation failure
        126455: 07/11/23: Kim Enkovaara: Re: EDK + Modelsim simulation : Memory allocation failure
    126442: 07/11/22: Pasacco: Re: EDK + Modelsim simulation : Memory allocation failure
    126463: 07/11/23: Pasacco: Re: EDK + Modelsim simulation : Memory allocation failure
126426: 07/11/22: Nevo: Unable to scan device chain
    126427: 07/11/21: rickman: Re: Unable to scan device chain
    126428: 07/11/22: John_H: Re: Unable to scan device chain
        126444: 07/11/22: Nevo: Re: Unable to scan device chain
    126443: 07/11/22: Nevo: Re: Unable to scan device chain
    126495: 07/11/25: Andre: Re: Unable to scan device chain
        126497: 07/11/25: Nevo: Re: Unable to scan device chain
            126498: 07/11/25: Nevo: Re: Unable to scan device chain
126429: 07/11/22: <wxy0624@gmail.com>: DCM with instable clock
    126451: 07/11/22: Hal Murray: Re: DCM with instable clock
        126515: 07/11/26: austin: Re: DCM with instable clock
            126611: 07/11/28: austin: Re: DCM with instable clock
    126474: 07/11/23: <wxy0624@gmail.com>: Re: DCM with instable clock
    126475: 07/11/23: Peter Alfke: Re: DCM with instable clock
    126476: 07/11/23: <wxy0624@gmail.com>: Re: DCM with instable clock
    126477: 07/11/23: Peter Alfke: Re: DCM with instable clock
    126480: 07/11/24: <wxy0624@gmail.com>: Re: DCM with instable clock
    126488: 07/11/24: Barry: Re: DCM with instable clock
    126588: 07/11/28: <wxy0624@gmail.com>: Re: DCM with instable clock
126431: 07/11/22: bhb: DDR2 dqs pin // virtex4
    126446: 07/11/22: Joseph Samson: Re: DDR2 dqs pin // virtex4
        126448: 07/11/22: bhb: Re: DDR2 dqs pin // virtex4
            126454: 07/11/23: Joseph Samson: Re: DDR2 dqs pin // virtex4
                126532: 07/11/27: bhb: Re: DDR2 dqs pin // virtex4
126433: 07/11/22: Philipp: PCI Mezzanine Card with Xilinx Virtex-II
    126436: 07/11/22: colin: Re: PCI Mezzanine Card with Xilinx Virtex-II
        126439: 07/11/22: Philipp: Re: PCI Mezzanine Card with Xilinx Virtex-II
        126447: 07/11/22: Philipp: Re: PCI Mezzanine Card with Xilinx Virtex-II
    126459: 07/11/23: cmoore: Re: PCI Mezzanine Card with Xilinx Virtex-II
    126502: 07/11/25: Ben Jackson: Re: PCI Mezzanine Card with Xilinx Virtex-II
126435: 07/11/22: dilip: converter
    126437: 07/11/22: Jonathan Bromley: Re: converter
    126493: 07/11/25: glen herrmannsfeldt: Re: converter
        126500: 07/11/25: Mike Treseler: Re: converter
126438: 07/11/22: Timo Gerber: React on falling edge in testbench
    126440: 07/11/22: Jonathan Bromley: Re: React on falling edge in testbench
        126445: 07/11/22: Timo Gerber: Re: React on falling edge in testbench
126441: 07/11/22: <michel.talon@gmail.com>: Virtex 5 PCB Designers Guide: required capacitors
    126453: 07/11/22: Symon: Re: Virtex 5 PCB Designers Guide: required capacitors
126450: 07/11/22: Wolfgang Grafen: Re: VHDL language is out of date! Why? I will explain.
126457: 07/11/23: <wojjed@gmail.com>: xilinx spartan 3 + 16 adc
    126458: 07/11/23: jerzy.gbur@gmail.com: Re: xilinx spartan 3 + 16 adc
        126462: 07/11/23: taco: Re: xilinx spartan 3 + 16 adc
            126521: 07/11/26: MM: Re: xilinx spartan 3 + 16 adc
                126526: 07/11/27: Jim Granville: Re: xilinx spartan 3 + 16 adc
                    126541: 07/11/27: Brian Drummond: Re: xilinx spartan 3 + 16 adc
                    126543: 07/11/27: MM: Re: xilinx spartan 3 + 16 adc
    126461: 07/11/23: <wojjed@gmail.com>: Re: xilinx spartan 3 + 16 adc
    126523: 07/11/26: Peter Alfke: Re: xilinx spartan 3 + 16 adc
126465: 07/11/23: dartanian: can't read/load memory contents
    126513: 07/11/26: dartanian: Re: can't read/load memory contents
        126570: 07/11/27: glen herrmannsfeldt: Re: can't read/load memory contents
    126548: 07/11/27: dartanian: Re: can't read/load memory contents
126467: 07/11/23: fl: How to simulate these example CORDIC code?
    126468: 07/11/23: KJ: Re: How to simulate these example CORDIC code?
        126472: 07/11/23: Mike Treseler: Re: How to simulate these example CORDIC code?
    126469: 07/11/23: fl: Re: How to simulate these example CORDIC code?
    126470: 07/11/23: fl: Re: How to simulate these example CORDIC code?
    126471: 07/11/23: fl: Re: How to simulate these example CORDIC code?
    126481: 07/11/24: HT-Lab: Re: How to simulate these example CORDIC code?
        126484: 07/11/24: HT-Lab: Re: How to simulate these example CORDIC code?
        127048: 07/12/10: Mike Treseler: Re: How to simulate these example CORDIC code?
        127050: 07/12/10: HT-Lab: Re: How to simulate these example CORDIC code?
    126507: 07/11/25: fl: Re: How to simulate these example CORDIC code?
    126934: 07/12/06: <mrmoosavi@gmail.com>: Re: How to simulate these example CORDIC code?
    126948: 07/12/06: KJ: Re: How to simulate these example CORDIC code?
    127039: 07/12/10: <mrmoosavi@gmail.com>: Re: How to simulate these example CORDIC code?
126479: 07/11/23: <nbg2006@gmail.com>: vhdl state machine
    126482: 07/11/24: Jonathan Bromley: Re: vhdl state machine
    126518: 07/11/26: Mike Treseler: Re: vhdl state machine
126483: 07/11/24: zlotawy: Fifo Block-RAM Xilinx ISE - port empty
126485: 07/11/24: joe: using fpga as programmable connection
    126486: 07/11/24: Jonathan Bromley: Re: using fpga as programmable connection
        126551: 07/11/27: joe: Re: using fpga as programmable connection
            126572: 07/11/28: KJ: Re: using fpga as programmable connection
    126491: 07/11/25: Jim Granville: Re: using fpga as programmable connection
    126492: 07/11/25: glen herrmannsfeldt: Re: using fpga as programmable connection
126487: 07/11/24: Yannick: Start-up Xilkernel on Microblaze
    126520: 07/11/26: Vasanth Asokan: Re: Start-up Xilkernel on Microblaze
    126539: 07/11/27: Yannick: Re: Start-up Xilkernel on Microblaze
126490: 07/11/24: naresh: Xilinx Dual processor design
    126503: 07/11/25: Ben Jackson: Re: Xilinx Dual processor design
    126534: 07/11/27: Andreas Hofmann: Re: Xilinx Dual processor design
        127206: 07/12/14: Andreas Ehliar: Re: Xilinx Dual processor design
    127287: 07/12/17: <pablo.huerta@gmail.com>: Re: Xilinx Dual processor design
126499: 07/11/25: Nevo: Converting a ByteBlasterMV into a ByteBlaster II?
    126517: 07/11/26: <ghelbig@lycos.com>: Re: Converting a ByteBlasterMV into a ByteBlaster II?
    126529: 07/11/26: Ben Jackson: Re: Converting a ByteBlasterMV into a ByteBlaster II?
    126545: 07/11/27: David Spencer: Re: Converting a ByteBlasterMV into a ByteBlaster II?
        128327: 08/01/22: vhdlguy@gmail.com: Re: Converting a ByteBlasterMV into a ByteBlaster II?
126506: 07/11/25: Ben Jackson: Hook open drain "power good" to nSTATUS or nCONFIG?
    126509: 07/11/26: Allan Herriman: Re: Hook open drain "power good" to nSTATUS or nCONFIG?
        126511: 07/11/26: Allan Herriman: Re: Hook open drain "power good" to nSTATUS or nCONFIG?
            126512: 07/11/27: Allan Herriman: Re: Hook open drain "power good" to nSTATUS or nCONFIG?
    126514: 07/11/26: Eli Bendersky: Re: Hook open drain "power good" to nSTATUS or nCONFIG?
126516: 07/11/26: =?ISO-8859-1?Q?GaLaKtIkUs(tm)?=: ISE and Itanium
    126524: 07/11/26: EEngineer: Re: ISE and Itanium
126525: 07/11/26: Daveb: Spare Spartan3's
126527: 07/11/26: <rha_x@yahoo.com>: scanf and printf in EDK's BSP
    126528: 07/11/27: Matthew Hicks: Re: scanf and printf in EDK's BSP
        126533: 07/11/27: Andreas Hofmann: Re: scanf and printf in EDK's BSP
    126530: 07/11/26: <rha_x@yahoo.com>: Re: scanf and printf in EDK's BSP
        126531: 07/11/27: Matthew Hicks: Re: scanf and printf in EDK's BSP
    126542: 07/11/27: Brian Drummond: Re: scanf and printf in EDK's BSP
    126547: 07/11/27: <rha_x@yahoo.com>: Re: scanf and printf in EDK's BSP
126535: 07/11/27: fazulu deen: Bidirectional open drain port
126536: 07/11/27: xenix: how to generate a linker script?
126537: 07/11/27: Florian: Xilinx XChecker cable supported until which version?
    126639: 07/11/28: Neil Glenn Jacobson: Re: Xilinx XChecker cable supported until which version?
126538: 07/11/27: <fpga-dev@web.de>: yet another Altera Cyclone II EP2C35 dev. board
    126552: 07/11/27: Ben Jackson: Re: yet another Altera Cyclone II EP2C35 dev. board
    126555: 07/11/27: <fpga-dev@web.de>: Re: yet another Altera Cyclone II EP2C35 dev. board
    126559: 07/11/27: Tommy Thorn: Re: yet another Altera Cyclone II EP2C35 dev. board
    126622: 07/11/28: <fpga-dev@web.de>: Re: yet another Altera Cyclone II EP2C35 dev. board
126540: 07/11/27: rgamer1981@gmail.com: Global Reset using Global Buffer
    126546: 07/11/27: austin: Re: Global Reset using Global Buffer
        126563: 07/11/27: austin: Re: Global Reset using Global Buffer
            126568: 07/11/27: Eric Smith: Re: Global Reset using Global Buffer
                126573: 07/11/27: Ed McGettigan: Re: Global Reset using Global Buffer
                    126574: 07/11/27: Eric Smith: Re: Global Reset using Global Buffer
                    126603: 07/11/28: John_H: Re: Global Reset using Global Buffer
                        126627: 07/11/28: Eric Smith: Re: Global Reset using Global Buffer
                            126727: 07/11/30: RCIngham: Re: Global Reset using Global Buffer
                                154268: 12/09/19: jt_eaton: Re: Global Reset using Global Buffer
                                    154272: 12/09/19: glen herrmannsfeldt: Re: Global Reset using Global Buffer
                                154276: 12/09/20: Mark Curry: Re: Global Reset using Global Buffer (long!)
                        126672: 07/11/29: Brian Drummond: Re: Global Reset using Global Buffer
                126612: 07/11/28: austin: Re: Global Reset using Global Buffer
        126697: 07/11/29: Rgamer: Re: Global Reset using Global Buffer
    126549: 07/11/27: Rgamer: Re: Global Reset using Global Buffer
    126598: 07/11/28: Rgamer: Re: Global Reset using Global Buffer
    126606: 07/11/28: Jim Wu: Re: Global Reset using Global Buffer
    126619: 07/11/28: Rgamer: Re: Global Reset using Global Buffer
    126626: 07/11/28: Andrew FPGA: Re: Global Reset using Global Buffer
    126666: 07/11/29: Rgamer: Re: Global Reset using Global Buffer
    126730: 07/11/30: <neilla@pipstechnology.co.uk>: Re: Global Reset using Global Buffer
    154257: 12/09/18: Carl: Re: Global Reset using Global Buffer
    154260: 12/09/18: jt_eaton: Re: Global Reset using Global Buffer
        154261: 12/09/19: glen herrmannsfeldt: Re: Global Reset using Global Buffer
        154271: 12/09/19: jt_eaton: Re: Global Reset using Global Buffer
        154275: 12/09/19: HT-Lab: Re: Global Reset using Global Buffer
    154263: 12/09/19: Christopher Head: Re: Global Reset using Global Buffer
    154265: 12/09/19: Brian Drummond: Re: Global Reset using Global Buffer
    154267: 12/09/19: <jonesandy@comcast.net>: Re: Global Reset using Global Buffer
    154269: 12/09/19: Brian Drummond: Re: Global Reset using Global Buffer
    154270: 12/09/19: Brian Drummond: Re: Global Reset using Global Buffer
    154277: 12/09/20: <jonesandy@comcast.net>: Re: Global Reset using Global Buffer (long!)
    154317: 12/09/27: Christopher Head: Re: Global Reset using Global Buffer
126544: 07/11/27: Philipp: Xilinx Multilink Connection not working
    126550: 07/11/27: Philipp: Re: Xilinx Multilink Connection not working
        126597: 07/11/28: mh: Re: Xilinx Multilink Connection not working
126553: 07/11/27: =?ISO-8859-1?Q?J=FCrgen_B=F6hm?=: CPU design uses too many slices
    126557: 07/11/27: Jon Elson: Re: CPU design uses too many slices
        126561: 07/11/27: Gabor: Re: CPU design uses too many slices
        126579: 07/11/28: =?ISO-8859-1?Q?J=FCrgen_B=F6hm?=: Re: CPU design uses too many slices
            126632: 07/11/28: Jon Elson: Re: CPU design uses too many slices
    126562: 07/11/28: Jim Granville: Re: CPU design uses too many slices
        126578: 07/11/28: =?ISO-8859-1?Q?J=FCrgen_B=F6hm?=: Re: CPU design uses too many slices
            126633: 07/11/28: Jon Elson: Re: CPU design uses too many slices
            126635: 07/11/28: Eric Smith: Re: CPU design uses too many slices
                126703: 07/11/29: =?UTF-8?B?SsO8cmdlbiBCw7ZobQ==?=: Re: CPU design uses too many slices
                    126708: 07/11/30: =?ISO-8859-1?Q?J=FCrgen_B=F6hm?=: Re: CPU design uses too many slices
                        126746: 07/11/30: Peter Alfke: Re: CPU design uses too many slices
            126701: 07/11/29: =?ISO-8859-1?Q?J=FCrgen_B=F6hm?=: Re: CPU design uses too many slices
                126731: 07/11/30: Brian Drummond: Re: CPU design uses too many slices
                126749: 07/11/30: glen herrmannsfeldt: Re: CPU design uses too many slices
        126628: 07/11/28: rickman: Re: CPU design uses too many slices
        126704: 07/11/29: rickman: Re: CPU design uses too many slices
        126705: 07/11/29: rickman: Re: CPU design uses too many slices
        126710: 07/11/29: rickman: Re: CPU design uses too many slices
    126623: 07/11/28: Joseph Samson: Re: CPU design uses too many slices
126554: 07/11/27: Michael Laajanen: Fedora 8 and ISE 9.2
    126735: 07/11/30: Thomas Feller: Re: Fedora 8 and ISE 9.2
        126792: 07/12/02: Michael Laajanen: Re: Fedora 8 and ISE 9.2
            126795: 07/12/02: Eric Smith: Re: Fedora 8 and ISE 9.2
                126798: 07/12/02: pdudley1@comcast.net: Re: Fedora 8 and ISE 9.2
126556: 07/11/27: fl: What's the difference for VHDL code between simulation and synthesis?
    126558: 07/11/27: KJ: Re: What's the difference for VHDL code between simulation and
    126560: 07/11/27: Sylvain Munaut <SomeOne@SomeDomain.com>: Re: What's the difference for VHDL code between simulation and
        126609: 07/11/28: Mike Treseler: Re: What's the difference for VHDL code between simulation and
        126638: 07/11/29: KJ: Re: What's the difference for VHDL code between simulation and synthesis?
    126738: 07/11/30: rickman: Re: What's the difference for VHDL code between simulation and
        126752: 07/11/30: KJ: Re: What's the difference for VHDL code between simulation and synthesis?
            126807: 07/12/03: Martin Thompson: Re: What's the difference for VHDL code between simulation and synthesis?
                126837: 07/12/04: KJ: Re: What's the difference for VHDL code between simulation and synthesis?
                    126842: 07/12/04: Martin Thompson: Re: What's the difference for VHDL code between simulation and synthesis?
                        126845: 07/12/04: KJ: Re: What's the difference for VHDL code between simulation and synthesis?
                            126846: 07/12/04: Mike Treseler: Re: What's the difference for VHDL code between simulation and synthesis?
            126822: 07/12/03: Mike Treseler: Re: What's the difference for VHDL code between simulation and synthesis?
                126830: 07/12/03: Mike Treseler: Re: What's the difference for VHDL code between simulation and
                    126839: 07/12/03: Mike Treseler: Re: What's the difference for VHDL code between simulation and
                        126902: 07/12/05: Mike Treseler: Re: What's the difference for VHDL code between simulation and
            126844: 07/12/04: KJ: Re: What's the difference for VHDL code between simulation and synthesis?
                126899: 07/12/05: Mike Treseler: Re: What's the difference for VHDL code between simulation and
            126862: 07/12/04: glen herrmannsfeldt: Re: What's the difference for VHDL code between simulation and synthesis?
                126914: 07/12/06: KJ: Re: What's the difference for VHDL code between simulation and synthesis?
                    126919: 07/12/05: glen herrmannsfeldt: Re: What's the difference for VHDL code between simulation and synthesis?
                        126957: 07/12/06: Ray Andraka: Re: What's the difference for VHDL code between simulation and synthesis?
                            126960: 07/12/06: glen herrmannsfeldt: Re: What's the difference for VHDL code between simulation and synthesis?
                                126984: 07/12/07: Ray Andraka: Re: What's the difference for VHDL code between simulation and synthesis?
                                    127024: 07/12/09: KJ: Re: What's the difference for VHDL code between simulation and synthesis?
                                        127043: 07/12/10: Ray Andraka: Re: What's the difference for VHDL code between simulation and synthesis?
                    127023: 07/12/09: KJ: Re: What's the difference for VHDL code between simulation and synthesis?
                        127033: 07/12/09: Mike Treseler: Re: What's the difference for VHDL code between simulation and synthesis?
    126821: 07/12/03: rickman: Re: What's the difference for VHDL code between simulation and
    126827: 07/12/03: rickman: Re: What's the difference for VHDL code between simulation and
    126838: 07/12/03: rickman: Re: What's the difference for VHDL code between simulation and
    126851: 07/12/04: rickman: Re: What's the difference for VHDL code between simulation and
    126880: 07/12/05: Andy: Re: What's the difference for VHDL code between simulation and
    126881: 07/12/05: Andy: Re: What's the difference for VHDL code between simulation and
    126892: 07/12/05: rickman: Re: What's the difference for VHDL code between simulation and
    126893: 07/12/05: rickman: Re: What's the difference for VHDL code between simulation and
    126903: 07/12/05: rickman: Re: What's the difference for VHDL code between simulation and
    126954: 07/12/06: Andy: Re: What's the difference for VHDL code between simulation and
    127052: 07/12/10: Andy: Re: What's the difference for VHDL code between simulation and
126564: 07/11/27: psihodelia@googlemail.com: Re: VHDL language is out of date! Why? I will explain.
126565: 07/11/27: <dipumisc@hotmail.com>: Xilinx IO leakage when not powered
    126566: 07/11/27: Peter Alfke: Re: Xilinx IO leakage when not powered
    126613: 07/11/28: austin: Re: Xilinx IO leakage when not powered
126569: 07/11/28: L. Schreiber: area group constraint problem
    126585: 07/11/28: Matthew Hicks: Re: area group constraint problem
        126599: 07/11/28: mh: Re: area group constraint problem
    126651: 07/11/29: L. Schreiber: Re: area group constraint problem (more detailed)
        126760: 07/12/01: l.s.rockfan@web.de: Re: area group constraint problem (more detailed) - solved
126571: 07/11/27: psihodelia@googlemail.com: What tools do you use ? Why ?
    126575: 07/11/28: <MikeShepherd564@btinternet.com>: Re: What tools do you use ? Why ?
        126614: 07/11/28: RCIngham: Re: What tools do you use ? Why ?
    126669: 07/11/29: Andy: Re: What tools do you use ? Why ?
        126740: 07/11/30: Mike Treseler: Re: What tools do you use ? Why ?
        126804: 07/12/03: Kim Enkovaara: Re: What tools do you use ? Why ?
    126733: 07/11/30: psihodelia@googlemail.com: Re: What tools do you use ? Why ?
    126741: 07/11/30: emeb: Re: What tools do you use ? Why ?
126580: 07/11/27: fazulu deen: device utilization
126581: 07/11/27: andyto@gmail.com: Behavioral Simulation working but Post-route Simulation is not.
    126583: 07/11/28: mk: Re: Behavioral Simulation working but Post-route Simulation is not.
        126601: 07/11/28: KJ: Re: Behavioral Simulation working but Post-route Simulation is not.
    126592: 07/11/28: andyto@gmail.com: Re: Behavioral Simulation working but Post-route Simulation is not.
    126600: 07/11/28: mh: Re: Behavioral Simulation working but Post-route Simulation is not.
126582: 07/11/28: <posedge52@yahoo.com>: I/O short circuit protection?
    126586: 07/11/28: Jim Granville: Re: I/O short circuit protection?
        126616: 07/11/28: David Spencer: Re: I/O short circuit protection?
    126602: 07/11/28: <posedge52@yahoo.com>: Re: I/O short circuit protection?
    126604: 07/11/28: John_H: Re: I/O short circuit protection?
    126640: 07/11/28: <posedge52@yahoo.com>: Re: I/O short circuit protection?
126589: 07/11/28: bhb: DDR2 controler
    126591: 07/11/28: Helmut: Re: DDR2 controler
        126595: 07/11/28: jacobusn@xilinx.com: Re: DDR2 controler
126590: 07/11/28: <wxy0624@gmail.com>: SLICEL : 92%,SLICEM 2%
    126610: 07/11/28: Jim Wu: Re: SLICEL : 92%,SLICEM 2%
126593: 07/11/28: Nial Stewart: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126596: 07/11/28: Brian Drummond: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126615: 07/11/28: austin: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126653: 07/11/29: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126618: 07/11/28: David Spencer: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126656: 07/11/29: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
            126679: 07/11/29: David Spencer: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126621: 07/11/28: Nico Coesel: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126624: 07/11/28: KJ: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126659: 07/11/29: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
            126667: 07/11/29: KJ: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126625: 07/11/28: Symon: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126629: 07/11/28: David Spencer: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
            126654: 07/11/29: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                126668: 07/11/29: KJ: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                    126692: 07/11/29: Symon: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                126711: 07/11/29: John Larkin: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                    126719: 07/11/30: Symon: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                        126723: 07/11/30: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                            126726: 07/11/30: Symon: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                        126742: 07/11/30: John Larkin: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                    126721: 07/11/30: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                        126743: 07/11/30: John Larkin: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126655: 07/11/29: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
            126720: 07/11/30: Symon: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126634: 07/11/28: Jon Elson: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126657: 07/11/29: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126641: 07/11/28: Didi: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126647: 07/11/28: Didi: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126658: 07/11/29: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126664: 07/11/29: Didi: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126686: 07/11/29: David Spencer: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
            126694: 07/11/29: Symon: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
                126695: 07/11/29: David Spencer: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126690: 07/11/29: Didi: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126698: 07/11/29: Didi: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126649: 07/11/28: John Larkin: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126660: 07/11/29: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
            126676: 07/11/29: John Larkin: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
    126652: 07/11/29: John Adair: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
        126661: 07/11/29: Nial Stewart: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
            126693: 07/11/29: Symon: Re: Gnd plane coupling with DDR routing from FPGA <-> DDR?
126594: 07/11/28: Timo Gerber: Adding Desing to an Xilins Platform Studio project
126608: 07/11/28: Mike: FPGA not in boundary scan
    126642: 07/11/29: John_H: Re: FPGA not in boundary scan
        126662: 07/11/29: Mike: Re: FPGA not in boundary scan
            126671: 07/11/29: John_H: Re: FPGA not in boundary scan
                126688: 07/11/29: Mike: Re: FPGA not in boundary scan
        126689: 07/11/29: Mike: Re: FPGA not in boundary scan
            126699: 07/11/29: Mike: Re: FPGA not in boundary scan
        126702: 07/11/29: John_H: Re: FPGA not in boundary scan
126620: 07/11/28: <cpandya@yahoo.com>: System ACE debug
126630: 07/11/29: Mark McDougall: Quartus memory init file
    126636: 07/11/28: <MikeShepherd564@btinternet.com>: Re: Quartus memory init file
        126637: 07/11/29: Mark McDougall: Re: Quartus memory init file
            126643: 07/11/28: John Rible: Re: Quartus memory init file
                126645: 07/11/29: Mark McDougall: Re: Quartus memory init file
126644: 07/11/29: Mark McDougall: ISE WARNING Xst:647
    126663: 07/11/29: Tricky: Re: ISE WARNING Xst:647
        126706: 07/11/30: Mark McDougall: Re: ISE WARNING Xst:647
        126713: 07/11/30: Mark McDougall: Re: ISE WARNING Xst:647
        126722: 07/11/30: Tricky: Re: ISE WARNING Xst:647
        126793: 07/12/02: Dave Pollum: Re: ISE WARNING Xst:647
    126755: 07/11/30: Brian Davis: Re: ISE WARNING Xst:647
        126799: 07/12/03: Mark McDougall: Re: ISE WARNING Xst:647
            126803: 07/12/03: Mark McDougall: Re: ISE WARNING Xst:647
        126833: 07/12/03: Brian Davis: Re: ISE WARNING Xst:647
    126872: 07/12/05: awellfriend4u@gmail.com: Re: ISE WARNING Xst:647
126646: 07/11/28: liqiyue@gmail.com: Interfacing Cyclone III to 3.3v LVDS devices
    126782: 07/12/02: cms: Re: Interfacing Cyclone III to 3.3v LVDS devices
    126808: 07/12/03: LC: Re: Interfacing Cyclone III to 3.3v LVDS devices
        126812: 07/12/03: <MikeShepherd564@btinternet.com>: Re: Interfacing Cyclone III to 3.3v LVDS devices
126650: 07/11/29: chesi: Cascaded DCMs with variable phase shift (Xilinx)
    126681: 07/11/29: austin: Re: Cascaded DCMs with variable phase shift (Xilinx)
    126717: 07/11/29: chesi: Re: Cascaded DCMs with variable phase shift (Xilinx)
    126745: 07/11/30: austin: Re: Cascaded DCMs with variable phase shift (Xilinx)
126665: 07/11/29: heinerlitz@googlemail.com: Asynchronous FIFO and almost empty - bug?
    126685: 07/11/29: Peter Alfke: Re: Asynchronous FIFO and almost empty - bug?
    126696: 07/11/29: Peter Alfke: Re: Asynchronous FIFO and almost empty - bug?
        126800: 07/12/02: PatC: Re: Asynchronous FIFO and almost empty - bug?
    126718: 07/11/30: heinerlitz@googlemail.com: Re: Asynchronous FIFO and almost empty - bug?
    126734: 07/11/30: Peter Alfke: Re: Asynchronous FIFO and almost empty - bug?
    126736: 07/11/30: Peter Alfke: Re: Asynchronous FIFO and almost empty - bug?
    126747: 07/11/30: Peter Alfke: Re: Asynchronous FIFO and almost empty - bug?
    126802: 07/12/02: Peter Alfke: Re: Asynchronous FIFO and almost empty - bug?
    126805: 07/12/03: heinerlitz@googlemail.com: Re: Asynchronous FIFO and almost empty - bug?
126670: 07/11/29: Sean Durkin: Drawing timing-diagrams for documentation
    126737: 07/11/30: Chris Maryan: Re: Drawing timing-diagrams for documentation
        126770: 07/12/01: Sean Durkin: Re: Drawing timing-diagrams for documentation
126673: 07/11/29: Denkedran Joe: lossless compression in hardware: what to do in case of uncompressibility?
    126675: 07/11/29: Spehro Pefhany: Re: lossless compression in hardware: what to do in case of uncompressibility?
    126677: 07/11/29: John McCaskill: Re: lossless compression in hardware: what to do in case of
    126678: 07/11/29: CBFalconer: Re: lossless compression in hardware: what to do in case of
        126682: 07/11/29: Denkedran Joe: Re: lossless compression in hardware: what to do in case of uncompressibility?
            126684: 07/11/29: <MikeShepherd564@btinternet.com>: Re: lossless compression in hardware: what to do in case of uncompressibility?
    126687: 07/11/29: John_H: Re: lossless compression in hardware: what to do in case of
    126691: 07/11/29: Phil Carmody: Re: lossless compression in hardware: what to do in case of uncompressibility?
    126709: 07/11/30: Jim Granville: Re: lossless compression in hardware: what to do in case of uncompressibility?
        126783: 07/12/02: Hans-Peter Diettrich: Re: lossless compression in hardware: what to do in case of uncompressibility?
            126784: 07/12/02: =?ISO-8859-1?Q?Hans-Bernhard_Br=F6ker?=: Re: lossless compression in hardware: what to do in case of uncompressibility?
            126785: 07/12/02: Pasi Ojala: Re: lossless compression in hardware: what to do in case of uncompressibility?
            126788: 07/12/02: CBFalconer: Re: lossless compression in hardware: what to do in case of
                126789: 07/12/02: Phil Carmody: Re: lossless compression in hardware: what to do in case of uncompressibility?
    126781: 07/12/02: cms: Re: lossless compression in hardware: what to do in case of
    126787: 07/12/02: Pascal Peyremorte: Re: lossless compression in hardware: what to do in case of uncompressibility?
    126806: 07/12/03: Boudewijn Dijkstra: Re: lossless compression in hardware: what to do in case of uncompressibility?
        126809: 07/12/03: Phil Carmody: Re: lossless compression in hardware: what to do in case of uncompressibility?
            126814: 07/12/03: RCIngham: Re: lossless compression in hardware: what to do in case of uncompressibility?
        126841: 07/12/04: Boudewijn Dijkstra: Re: lossless compression in hardware: what to do in case of uncompressibility?
            126868: 07/12/05: Boudewijn Dijkstra: Re: lossless compression in hardware: what to do in case of uncompressibility?
                126875: 07/12/05: Boudewijn Dijkstra: Re: lossless compression in hardware: what to do in case of uncompressibility?
    126819: 07/12/03: rickman: Re: lossless compression in hardware: what to do in case of
    126850: 07/12/04: rickman: Re: lossless compression in hardware: what to do in case of
    126856: 07/12/04: comp.arch.fpga: Re: lossless compression in hardware: what to do in case of
    126870: 07/12/05: comp.arch.fpga: Re: lossless compression in hardware: what to do in case of
    126889: 07/12/05: rickman: Re: lossless compression in hardware: what to do in case of
126674: 07/11/30: Tony Burch: Hand solder that FPGA on your prototype
    126712: 07/11/29: Chris Maryan: Re: Hand solder that FPGA on your prototype
        126724: 07/11/30: Tony Burch: Re: Hand solder that FPGA on your prototype
            126725: 07/11/30: <MikeShepherd564@btinternet.com>: Re: Hand solder that FPGA on your prototype
                126761: 07/12/02: Tony Burch: Re: Hand solder that FPGA on your prototype
    126744: 07/11/30: Nico Coesel: Re: Hand solder that FPGA on your prototype
126680: 07/11/29: Anton Kowalski: EDK IPIF development workflow
    126683: 07/11/29: John McCaskill: Re: EDK IPIF development workflow
    126869: 07/12/05: comp.arch.fpga: Re: EDK IPIF development workflow
126700: 07/11/29: motty: EDK 9.2 Woes
    126707: 07/11/30: John Williams: Re: EDK 9.2 Woes
    126714: 07/11/29: motty: Re: EDK 9.2 Woes
126715: 07/11/29: dash82: Pipelining of FPGA code
    126716: 07/11/30: KJ: Re: Pipelining of FPGA code
        126750: 07/11/30: glen herrmannsfeldt: Re: Pipelining of FPGA code
    126728: 07/11/30: RCIngham: Re: Pipelining of FPGA code
    126732: 07/11/30: Symon: Re: Pipelining of FPGA code
    126774: 07/12/01: dash82: Re: Pipelining of FPGA code
126729: 07/11/30: <marek.kraft@gmail.com>: Using DDR RAM on XUP V2Pro board
    126754: 07/11/30: David Binnie: Re: Using DDR RAM on XUP V2Pro board
        126767: 07/12/01: Duane Clark: Re: Using DDR RAM on XUP V2Pro board
        126769: 07/12/01: David Binnie: Re: Using DDR RAM on XUP V2Pro board
    126759: 07/12/01: <marek.kraft@gmail.com>: Re: Using DDR RAM on XUP V2Pro board
126739: 07/11/30: u_stadler@yahoo.de: ise timing analysis + different clock domains
    126748: 07/11/30: Mike Treseler: Re: ise timing analysis + different clock domains
    126801: 07/12/02: PatC: Re: ise timing analysis + different clock domains
    126867: 07/12/05: u_stadler@yahoo.de: Re: ise timing analysis + different clock domains
126751: 07/11/30: tang: Traffic Light with counter
    126753: 07/11/30: Dave: Re: Traffic Light with counter
    126756: 07/12/01: Symon: Re: Traffic Light with counter
        126757: 07/12/01: Jonathan Bromley: Re: Traffic Light with counter
        126768: 07/12/01: Mike Treseler: Re: Traffic Light with counter
            126771: 07/12/01: Jonathan Bromley: Re: Traffic Light with counter
                126772: 07/12/01: KJ: Re: Traffic Light with counter
                    126780: 07/12/02: Symon: Re: Traffic Light with counter
    126758: 07/12/01: John Adair: Re: Traffic Light with counter
    126764: 07/12/01: tang: Re: Traffic Light with counter
    126765: 07/12/01: tang: Re: Traffic Light with counter
    126791: 07/12/02: Marlboro: Re: Traffic Light with counter


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search